feature article
Subscribe Now

It’s EUV Season Again

Spoiler: No, We’re Not There Yet

I know, I know, you’re all at the edge of your seats, wondering if it’s ok to start designing assuming EUV lithography. Actually… I suppose if it’s critical to you, you’ve already got inside tracks and you attend all the update sessions, so you’re probably already up to speed. But the rest of you are just dying to know, I’m sure.

SPIE Advanced Litho happened recently, and there are three larger stories to tell here, although, at present, unless something changes, it seems like only two of them will have an impact. Each of these stories corresponds to one of the potential purveyors of EUV lithography sources. We’ll close with other notes and players.

Cymer

Cymer is the big gorilla in the effort, if for no other reason than the fact that ASML bought them. They also had aggressive messaging in the past, getting them lots of attention – although the technology couldn’t quite keep up with the messaging.

Which made me slightly nervous as they started this year’s SPIE update with a review of past progress. “Where are we now?” presentations that start with a history segment often mean that “I don’t have much news, and I have to fill the time with something.”

The past shows definite progress (if tempered by reality… less of the “Last year we announced 50 W; this year we’re again announcing 50 W, only this time we mean it” thing). But I also remember a time when 100 W was the target; not sure if my brain is failing me (again), or whether I missed the memo, or whether the target quietly moved out, but now 250 W is the goal for high-volume production (HVM).

Cymer is claiming 80 W at present, cranking out 1000 wafers per day. They can also do 100 W by cranking the dose overhead (DO) – essentially, margin for ensuring stability – from 30% down to 17%. Presumably, as confidence grows, they’ll be able to do full production with this (or some) lower DO.

So that’s good, that’s progress, but it’s still less than half the target. What are their plans to make up the difference? Further improvements are in the research phase at the moment.

Primary attention is on the laser: they’re working on what they call their high-power seed system (HPSS). Right now, the power profile is sort of trapezoidal: a sharp increase, then a slow decrease, followed by a sharp decrease at the end of the “event.” With the HPSS, they’re hoping for more of a pulse: again, a sharp increase, only to a much higher power, followed by a relatively steep decrease. More triangle than trapezoid.

 First_figure.png

(Graphs highly idealized based on my note-scribbling during the presentation)

They’re implementing this first on a lower-power system that has only three power amplifiers. They recently added a fourth power amp to their 3300B system to lower what they call “pedestal energy.” The pedestal is a nominal increase in power just before the big surge, wasting power that could be used. Once they have the HPSS working on the 3-amp system, they’ll introduce it into a four-amp system.

Second_figure.png 

Will this get them all the way to 250 W? We’ll see.

Gigaphoton

Meanwhile, there’s another company using similar LPP/pre-pulse technology to what we’ve discussed with Cymer – although they claim to have been using it before Cymer. Theirs is also a phased approach, with a current prototype that should deliver 140 W. This will be followed up by a “pilot” unit that will achieve 250 W, targeted for the third quarter of this year.

This pilot will be for delivery to ASML to get them working with it. An actual commercial HVM unit is envisioned in the 2017/18 timeframe.

One of the issues they discussed was debris mitigation. We’ve talked about this with the Cymer unit, in particular with respect to their haze removal approach. Gigaphoton has a different setup, attempting to trap both bits of droplet that don’t get consumed, as well as ions in the plasma.

For the former, they have a “droplet catcher” in line with the falling drops; for the latter, they have “ion catchers” on each side to pull errant ions away from other delicate parts of the machinery. They had to make some improvements to protect the parts of the collector mirror close to the ion catchers from “back-diffusion.”

 Third_figure.png

(Image courtesy Gigaphoton)

Zplasma

For the moment, I don’t have much of a story here. I heard about these guys a couple of months ago, and I’ve had some limited conversation with their CEO, Henry Berg. I’ve frankly been trying to talk to some other parties – having only one side of the story always feels incomplete. It’s actually a hard conversation to get.

ZPlasma claims an improved discharge-produced plasma (DPP) technology. Earlier attempts at this approach apparently created unstable plasmas, and efforts shifted to the LPP and LDP methodologies; ZPlasma claims they have stabilized the plasma and have a way forward with DPP.

Problem is, they need funding to take this to market. And, strangely enough in an area where everyone is concerned about the constant delays with EUV, they found no takers. They say that the physics has been reviewed by non-ZPlasma physicists, who, they say, have validated the approach. And yet, nothing.

Are folks burned out on dashed EUV promises? Are other alliances resulting in outsiders remaining outside? One key question I wondered about was the likelihood of ASML taking on a project that competes with its own now-internal Cymer technology.

Then again, Gigaphoton is also in that place, and I asked them whether they were feeling a cold shoulder from ASML –the major game in town. They said that ASML appears to be keeping Cymer somewhat separate so that they don’t run afoul of anti-trust concerns. Gigaphoton is clearly moving forward to deliver a pilot unit to ASML, and they don’t appear discouraged. So that, by itself, may not explain why ASML hasn’t expressed interest in the ZPlasma approach.

I’m still trolling around for insights; depending on what I get, I may do a follow-up to dig deeper into what ZPlasma is doing.

Other bits and bobs

A gentleman from GlobalFoundries also presented on free-electron laser (FEL) technology, which he suggests will help to achieve the 500-1000 W that he said will be needed for the 5-nm node. If this approach starts to take hold, we’ll need to look at the technology separately, since it’s very different from the closer-in approaches.

Optix Fab, a spin-off from Fraunhofer, discussed a way of purifying the spectrum of UV light used. They are building a multi-layer collector, which acts like a grating when reflecting light. But they’re doing what I call “grating-on-grating.” They have one low-frequency grating, superimposed on which is a high-frequency grating. This slightly distorts the direction of ±1 order light, leaving only 0-order light that makes it through a central hole. Of course, they lose some EUV light this way – around 4.8% – but what remains is of higher spectral purity.

Fourth_figure.png 

Finally, some of you might remember a technology we covered a few years back by a company called Xtreme. Remember the rolling wheels dipping into a tin bath? Well, that approach – called LDP – had seemingly been eclipsed by the current focus on LPP. And yet they are still around and presented at SPIE, although as a source for actinic inspection rather than exposure.

You may object that the presentation this year was by Ushio. Which is correct. In the way of the EUV soap opera, Xtreme, originally a spin-out of Fraunhofer, went through a few acquisitions and was ultimately picked up by Ushio. By the way, Ushio was originally also part owner of Gigaphoton – that was a joint venture between Ushio and Komatsu. But, upon acquiring Xtreme, Ushio relinquished its share  of Gigaphoton so as not to be in a conflict of interest between the Xtreme and Gigaphoton technologies.

They say the power target is 100 W; they claim to have hit 120 W, running for 50 hours uninterrupted. They implemented additional control feedback to improve dose stability from 1.5% to 0.2%. They’re still investigating some remaining debris issues. There’s a screen that gets most of it, but some ions are getting through – although, after some level of tin build-up, it’s as if a balance of deposition and evaporation is achieved, since, after initial growth, further growth stops.

They have tests starting this month; they’re looking to be “fully operational” in May.

 

More info:

Cymer

Gigaphoton

OptiX Fab

Ushio EUV

ZPlasma

 

 

11 thoughts on “It’s EUV Season Again”

  1. Pingback: bdsm
  2. Pingback: web link
  3. Pingback: free slots
  4. Pingback: see
  5. Pingback: scr888

Leave a Reply

featured blogs
May 2, 2024
I'm envisioning what one of these pieces would look like on the wall of my office. It would look awesome!...
Apr 30, 2024
Analog IC design engineers need breakthrough technologies & chip design tools to solve modern challenges; learn more from our analog design panel at SNUG 2024.The post Why Analog Design Challenges Need Breakthrough Technologies appeared first on Chip Design....

featured video

Introducing Altera® Agilex 5 FPGAs and SoCs

Sponsored by Intel

Learn about the Altera Agilex 5 FPGA Family for tomorrow’s edge intelligent applications.

To learn more about Agilex 5 visit: Agilex™ 5 FPGA and SoC FPGA Product Overview

featured paper

Achieve Greater Design Flexibility and Reduce Costs with Chiplets

Sponsored by Keysight

Chiplets are a new way to build a system-on-chips (SoCs) to improve yields and reduce costs. It partitions the chip into discrete elements and connects them with a standardized interface, enabling designers to meet performance, efficiency, power, size, and cost challenges in the 5 / 6G, artificial intelligence (AI), and virtual reality (VR) era. This white paper will discuss the shift to chiplet adoption and Keysight EDA's implementation of the communication standard (UCIe) into the Keysight Advanced Design System (ADS).

Dive into the technical details – download now.

featured chalk talk

Trends and Solutions for Next Generation Energy Storage Systems
Sponsored by Mouser Electronics and onsemi
Increased installations of DC ultra fast chargers, the rise of distributed grid systems, and a wider adoption of residential solar installations are making robust energy storage systems more important than ever before. In this episode of Chalk Talk, Amelia Dalton, Hunter Freberg and Prasad Paruchuri from onsemi examine trends in EV chargers, solar, and energy storage systems, the role that battery storage integration plays in energy storage systems, and how onsemi is promoting innovation in the world of energy storage systems.
Jan 29, 2024
14,096 views