feature article
Subscribe Now

The Annual EUV Update

No, We’re Not There Yet. Am I Gonna Have to Turn This Thing Around?

OK, folks, SPIE Advanced Litho happened last month, so it must be time for… wait for it… wait for it… wait for it…

That’s the best clue I can think of.

Still waiting for it? Yup, we are. EUV is the thing we’re awaiting, of course.

So this is the obligatory update on the The Technology that Will Finally Save the Imminent End of Moore’s Law. Which would, of course, be disastrous. Ending Moore’s Law, that is. I mean, what other unifying theme could then be used on all technology presentations everywhere no matter how dissimilar?

We’ve focused in the past mostly on the source of the EUV energy that we use to expose the wafers. Because, of course, that’s the biggest, most obvious thing that’s not yet up to snuff. We need more watts if this thing is going to let anyone make money.

But it’s not the only thing, so we’ll cover a couple of notable items out of the numerous presentations given in the EUV track during the week.

Starting with… OK, yeah the source. Let’s get this over with. And, just so you’ll calm down and actually read rather than skimming, wondering, “Will he mention it? Will he mention it?” let me get the first salacious thing out of the way first. After all, we don’t do much in the way of salacious in this business. (I’ll leave it up to you to decide whether that’s good or bad.)

Here’s the deal. You may recall the erstwhile Cymer source that we’ve described before. “Erstwhile” because they were acquired by ASML; we’ll still refer to them as Cymer. Well, now a 30-W version of one of their systems is being spun up at TSMC.

And, in an example of possibly the worst possible timing, right when TSMC was presenting the overall invited paper summarizing the status of All Things EUV at the world’s largest foundry, they had to report that some sort of misalignment of the laser had taken the machine down during early testing. As of presentation time, work had stopped.

This caused quite the buzz, including people wondering whether this might be the final nail in the coffin for this technology. We are so dramatic as a species! That said, this bit of news didn’t sound like a good thing.

Fortunately, we at EE Journal don’t make our money trying to be the first out the gateway with the most outrageous speculation on what might have happened and what it might portend. Now that a little time has passed, I contacted ASML to see whether this has all been resolved. Their spokesperson Ryan Young filled me in.

The story, as he tells it, is that the machine was down while being upgraded for higher power, and in the process of bringing it back up, a laser misalignment problem did indeed cause the machine to come back down. They found the problem – root cause – which was apparently a procedural issue bringing it back up rather than a design flaw (although some might argue that susceptibility to procedural error is a design flaw, but I won’t get into that). They’ve been up and running for several weeks now. And, to Mr. Young’s knowledge, this is not a temporary patch with some design change coming later; this was an event that is now in the past. Done. Finito.

I know, it’s a bit disappointing given the wagging tongues and breathless speculations about all kinds of horrible portents for EUV. And yes, I’m taking their word for it, so conspiracy buffs could still have a field day (nothing stops them anyway, not even indisputable facts). But the conclusion seems to be: “Nothing to see here. Move along folks…”

Now that we’ve dispatched that baby, what about other source news? Well, efforts are underway to refine the pre-pulse technology. As it turns out, the timing of the pre-pulse matters: nanosecond pulses create a 2D disk out of the tin droplet; picosecond pulses create a 3D cloud. That latter detail was presented by Gigaphoton.

“By whom?” you ask. Yes, another company is in this source race. Gigaphoton appears to be using the same fundamental pre-pulse technology, but they use separate lasers for the pre-pulse and main pulse. And Mitsubishi is coming forth with a transverse flow laser that they say is 1.6 times more efficient than the axial-flow laser that’s in use at present.

So that’s two companies (at least) sharing the stage with ASML/Cymer. Which is good, since more hands on deck improves the chances that we get this thing nailed. But man oh man… these are some hefty investments for a limited number of units. Will EUV source equipment be a real market? Will there will be enough business to spread it around, or will one company end up owning it all?

Cymer is also doing more detailed modeling of the droplet physics so that they can be more precise and efficient with the controls. They see this reducing the “dose margin” – a measure of the energy required for control – by 3.5x. (Meaning, for you literalists, that the improved margin will be only 1/3.5th – roughly 14%  – of the current dose margin.)

Of course, it wouldn’t be right if I didn’t provide the latest status of the source power projections, although we know how accurate those have been in the past. Gigaphoton is promising 250 W by Q2 2015; Cymer/ASML by the end of 2015. Right now, I think folks would be relieved just to see 100 W to get over that much-anticipated barrier.

Last on the source agenda is the issue of collector cleaning. As we’ve pointed out before, bits of tin go all over the place inside the reaction chamber. The collector, which is supposed to round up all those EUV rays and point them at your transistor, has to be highly reflective to do that. The tin deposits end up making the collector hazy; after a while, you have to take the machine down and either replace the collector (so you can get it up and running again with a fresh collector while you clean the other one) or wait until the cleaning is done. Neither of which option is appealing.

Turns out (and we hinted at this a couple of years ago), hydrogen can bind to the offending tin bits and pull them away. This has now gone from useful concept to formal process: ASML showed a video of a hazy collector being cleaned by hydrogen. The best part about this is that you can do it in situ: no need to remove the collector. And if you do it regularly, without waiting for it to accumulate into a haze, then it doesn’t take long and throughput isn’t killed. So I got the sense that this issue is now behind us (pending full implementation).

I should mention that there was one other source laser presentation that came out of left field, but I’ll cover that one separately in the future, since it seems to have little practical applicability to today’s efforts.

Finally, let’s talk about mask defectivity. Those reticles: such complex beasts! We can’t be happy with a simple reflective or transmissive surface, oh no; that would be way too easy! Nope, they’re these multi-layer monsters.

This is the case because almost no materials will transmit or reflect EUV light in the way we’re used to for visible light. Which means the EUV light gets absorbed (the only other option) by pretty much everything. Which amounts to a hijacking if you’re trying to send the light to a target.

All EUV optics are reflective, and that includes the masks – so how do they manage this? With multiple layers of material, each of which interacts with the light slightly differently, and the interference effects from which serve to provide a reflection. I’d love to say I totally get how it works, but you’d pretty much find me out immediately. It’s a Braggy Fresnelly kind of thing.

And that’s just the reflecting part. Obviously, if it’s going to be a mask, you want the light to be spatially selective. So an “absorber” layer is placed over the multilayer stack on the blank mask; you want this layer to absorb (i.e., not reflect) the EUV light. So when you write one of these masks, you’re selectively etching the absorber layer with the circuit pattern so that the underlying multi-layer bit, where exposed, can reflect.

The problem is that there are plenty of opportunities for defects, both in the mask-making and in the everyday usage. There are three fundamental types:

  • Absorber defects
  • Multi-layer defects
  • “Fall-on” defects

Absorber defects are errors in the mask pattern, and they can often be repaired. Sharpie anyone?

Multi-layer defects are a much bigger problem because they can’t be seen easily. It’s a princess-and-pea thing; if, say, there’s a defect on the starting glass substrate, onto which the multiple layers will be deposited, then instead of this nice parallel Dobosh torte of a mask, the lines have to run up and over the defect. That messes up how it reflects the light.

The defect doesn’t have to be at the bottom; it could exist anywhere within the layer stack.

And here’s the rub: these defects, by and large, are not evident when you inspect under normal light. You might notice them only when using the same EUV light that will be used in production. (Almost by definition, if you didn’t notice it using that EUV light, then it wouldn’t matter. Problem is, that’s the only way to see it.) Using the same wavelength for inspection as will be used in production is called “actinic” inspection, and, yup, it’s pretty much needed for these mask defects.

Is that so hard? Well, I’ll defer to the experts on that, but think about how much effort and equipment is going into creating the EUV light for exposure. Yes, you don’t need (or want!) 100 W for inspection, but requiring EUV light for inspection isn’t what one might have hoped for. It’s more expense and effort, if nothing else.

Finally, we have the “fall-on” defects. In what I might applaud as the most obvious, least obscure name in technology, I probably don’t even have to tell you what these are. But I will: they’re particles that fall onto the mask during use. In the absence of any other solution, this mandates an extremely clean operation, with frequent mask inspections – annoying.

With standard non-EUV masks, the solution to this problem is to use pellicles: this provides a glass layer to catch the dust away from the actual mask. That keeps the dust out of the focal plane of the exposure, meaning the dust isn’t likely to be exposed (or will do so hazily and be acceptable). Following this example, we’d like to put a glass layer over the EUV mask so the particles land there and not on the actual mask.

But, as you know from those times you tried to get a suntan through a closed window, that doesn’t work. Put a glass layer on there and say goodbye to all that EUV light you worked so hard to create and deliver. This gets back to the fundamental EUV challenge: finding a material that’s something other than an absorber of EUV light.

That said, work is underway to find a suitable pellicle, one that can transmit more than 90% of the impinging EUV light. We’re not there yet.

The details of these and the other EUV papers will be posted in the SPIE proceedings vault online; so far, only a few are there, but, even so, they’re only for SPIE members unless you’re willing to pony up.

One thought on “The Annual EUV Update”

Leave a Reply

featured blogs
Apr 16, 2024
In today's semiconductor era, every minute, you always look for the opportunity to enhance your skills and learning growth and want to keep up to date with the technology. This could mean you would also like to get hold of the small concepts behind the complex chip desig...
Apr 11, 2024
See how Achronix used our physical verification tools to accelerate the SoC design and verification flow, boosting chip design productivity w/ cloud-based EDA.The post Achronix Achieves 5X Faster Physical Verification for Full SoC Within Budget with Synopsys Cloud appeared ...
Mar 30, 2024
Join me on a brief stream-of-consciousness tour to see what it's like to live inside (what I laughingly call) my mind...

featured video

MaxLinear Integrates Analog & Digital Design in One Chip with Cadence 3D Solvers

Sponsored by Cadence Design Systems

MaxLinear has the unique capability of integrating analog and digital design on the same chip. Because of this, the team developed some interesting technology in the communication space. In the optical infrastructure domain, they created the first fully integrated 5nm CMOS PAM4 DSP. All their products solve critical communication and high-frequency analysis challenges.

Learn more about how MaxLinear is using Cadence’s Clarity 3D Solver and EMX Planar 3D Solver in their design process.

featured chalk talk

Gas Monitoring and Metering with Sensirion SFC6000/SFM6000 Solutions
Sponsored by Mouser Electronics and Sensirion
In this episode of Chalk Talk, Amelia Dalton and Negar Rafiee Dolatabadi from Sensirion explore the benefits of Sensirion’s SFM6000 Flow Meter and SFC Flow Controller. They examine how these solutions can be used in a variety of applications and how you can get started using these technologies for your next design.
Jan 17, 2024
12,890 views