industry news
Subscribe Now

Cadence Modus Test Solution Enables Support for Safety-Critical SoC Designs Using ARM MBIST Interface

  • Collaboration helps customers reduce the need for manual work and speed time to market
  • Cadence and ARM complete silicon validation using ARM Cortex-A73 processor

SAN JOSE, Calif., November 14, 2016—Cadence Design Systems, Inc. (NASDAQ: CDNS) today announced that the Cadence® Modus™ Test Solution now supports the ARM® Memory Built-In Self Test (MBIST) interface, enabling customers to efficiently create safety-critical system-on-chip (SoC) designs using high-performance ARM processors. To demonstrate the success of the collaboration, Cadence and ARM have completed silicon validation using an ARM Cortex®-A73 processor in conjunction with the Modus Test Solution’s automatic test pattern generation (ATPG) and diagnostic capabilities. 

Through Cadence’s support of the ARM MBIST interface, customers can deliver innovative SoC designs to market faster and with better power, performance and area (PPA). For example, the Modus Test Solution provides ARM MBIST interface users with the option for programmable memory built-in self test (PMBIST) to use a single bus to service multiple memories with one MBIST controller. The solution utilizes the ARM MBIST interface to reduce the impact of MBIST on critical timing paths to and from memories in functional operation and for a higher quality at-speed test. Finally, the Modus Test Solution provides a physical-to-logical mapping capability, which reduces the need for manual, error-prone work.

“The Cadence Modus Test Solution supports the ARM MBIST interface and its many benefits,” said Teresa McLaurin, fellow and director, technology services group, ARM. “One feature is automation of the physical-to-logical mapping capability that bridges the definition of logical memories to a customer’s unique physical memory configuration, simplifying the task of integrating MBIST for ARM IP in their products.” 

“We launched the Modus Test Solution earlier this year to address escalating manufacturing test costs. Its patented 2D Elastic Compression technology delivers up to 3X reduction in manufacturing test costs,” said Paul Cunningham, vice president of research and development in the Digital & Signoff Group at Cadence. “Since then, we’ve continued to expand the Modus Test Solution’s technical capabilities, and by working with ARM, we’re enabling customers to easily incorporate ARM IP and Cadence flows in order to bring competitive, safety-critical SoCs to market.” 

The Cadence Modus Test Solution is a comprehensive next-generation physically aware design-for-test (DFT), ATPG and silicon diagnostics tool. Using the Modus Test Solution, customers can experience up to 3X reduction in test time using its patented physically aware 2D Elastic Compression architecture, without any impact on fault coverage or chip size. For more information on the Modus Test Solution, please visit www.cadence.com/go/modusts

About Cadence

Cadence enables global electronic design innovation and plays an essential role in the creation of today’s integrated circuits and electronics. Customers use Cadence software, hardware, IP and services to design and verify advanced semiconductors, consumer electronics, networking and telecommunications equipment, and computer systems. The company is headquartered in San Jose, Calif., with sales offices, design centers and research facilities around the world to serve the global electronics industry. More information about the company, its products and its services is available at http://www.cadence.com.

Leave a Reply

featured blogs
Apr 26, 2024
LEGO ® is the world's most famous toy brand. The experience of playing with these toys has endured over the years because of the innumerable possibilities they allow us: from simple textbook models to wherever our imagination might take us. We have always been driven by ...
Apr 26, 2024
Biological-inspired developments result in LEDs that are 55% brighter, but 55% brighter than what?...
Apr 25, 2024
See how the UCIe protocol creates multi-die chips by connecting chiplets from different vendors and nodes, and learn about the role of IP and specifications.The post Want to Mix and Match Dies in a Single Package? UCIe Can Get You There appeared first on Chip Design....

featured video

How MediaTek Optimizes SI Design with Cadence Optimality Explorer and Clarity 3D Solver

Sponsored by Cadence Design Systems

In the era of 5G/6G communication, signal integrity (SI) design considerations are important in high-speed interface design. MediaTek’s design process usually relies on human intuition, but with Cadence’s Optimality Intelligent System Explorer and Clarity 3D Solver, they’ve increased design productivity by 75X. The Optimality Explorer’s AI technology not only improves productivity, but also provides helpful insights and answers.

Learn how MediaTek uses Cadence tools in SI design

featured paper

Designing Robust 5G Power Amplifiers for the Real World

Sponsored by Keysight

Simulating 5G power amplifier (PA) designs at the component and system levels with authentic modulation and high-fidelity behavioral models increases predictability, lowers risk, and shrinks schedules. Simulation software enables multi-technology layout and multi-domain analysis, evaluating the impacts of 5G PA design choices while delivering accurate results in a single virtual workspace. This application note delves into how authentic modulation enhances predictability and performance in 5G millimeter-wave systems.

Download now to revolutionize your design process.

featured chalk talk

Advancements in Motor Efficiency Enables More Sustainable Manufacturing
Climate change is encouraging the acceleration of sustainable and renewable manufacturing processes and practices and one way we can encourage sustainability in manufacturing is with the use of variable speed drive motor control. In this episode of Chalk Talk, Amelia Dalton chats with Maurizio Gavardoni and Naveen Dhull from Analog Devices about the wide ranging benefits of variable speed motors, the role that current feedback plays in variable speed motor control, and how precision measurement solutions for current feedback can lead to higher motor efficiency, energy saving and enhanced sustainability.
Oct 19, 2023
24,249 views