industry news
Subscribe Now

eBeam Initiative to Expand Education Efforts on eBeam Technology Requirements for EUV and Nanoimprint Lithography

SAN JOSE, Calif., February 23, 2016–The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, today announced that it will expand its education efforts in 2016 to increase the industry’s focus on eBeam technology requirements and new developments to support extreme ultraviolet (EUV) lithography, nanoimprint lithography (NIL) and multi-beam mask writing. Each of these topics will be addressed by industry luminary guest speakers at the annual eBeam Initiative members and press luncheon event being held today during the SPIE Advanced Lithography Conference at the San Jose Convention Center.

In related news, Canon has joined the eBeam Initiative, adding the company’s unique perspective and leadership in semiconductor technology, including NIL, to the more than 40 existing eBeam Initiative member companies and advisors spanning the semiconductor photomask and lithography supply chain.

“I’m pleased to welcome Canon to the eBeam Initiative as a new member,” stated Aki Fujimura, CEO of D2S, managing company sponsor of the eBeam Initiative. “eBeam technology is essential no matter which lithography approach is used for semiconductor manufacturing. To that end, we are excited to add this important voice to the eBeam community. Canon’s pioneering work in nanoimprint lithography is important to the development of advanced semiconductor technology. We look forward to Canon’s support of our ongoing collaborative efforts to educate the photomask and semiconductor industries on the importance of eBeam technology.”

Last year, the eBeam Initiative’s annual survey, which was completed by 64 industry luminaries from across the semiconductor and photomask manufacturing supply chain, revealed that the majority of respondents believe that multi-beam mask writing machines will be used in high-volume manufacturing for critical-layer masks by the end of 2018. This expectation reflects the growing recognition that multi-beam technology is needed to address both rising mask complexity and the need for greater eBeam writing precision regardless of which lithography approach is used for advanced semiconductor manufacturing. To support the infrastructure for multi-beam mask writing, as well as alternative next-generation lithography approaches like EUV and NIL, the eBeam Initiative will increase its education focus on these critical technology areas.

“Canon is building on more than a decade of investment in developing nanoimprint lithography into a viable semiconductor lithography solution for sub-20-nm high-resolution processes,” stated Doug Resnick, vice president of marketing and business development at Canon Nanotechnologies. “The ability to write 1X patterns on NIL templates with extremely high precision is critical to realizing NIL’s potential as a production-worthy technology. To that end, we support collaborative industry efforts like those of the eBeam Initiative that foster an open environment for exchanging information and ideas that can help accelerate the progress of eBeam technology, which not only benefits NIL technology, but the semiconductor industry at large.”

The annual eBeam Initiative members and press luncheon event being held today will feature presentations from industry luminaries on the following topics: the challenges in making NIL master templates; 5-nm test chip design and manufacturing challenges with a comparison of EUV versus 193-i lithography; and results of a new multi-beam mask writing system. Copies of these presentations will be made available after February 23 on the eBeam Initiative website at www.ebeam.org.

About The eBeam Initiative

The eBeam Initiative provides a forum for educational and promotional activities regarding new semiconductor manufacturing approaches based on electron beam (eBeam) technologies. The goals of the Initiative are to reduce the barriers to adoption to enable more integrated circuit (IC) design starts and faster time-to-market while increasing the investment in eBeam technologies throughout the semiconductor ecosystem. Members, which span the semiconductor ecosystem, include: aBeam Technologies; Advantest; Alchip Technologies; AMTC; Applied Materials; Artwork Conversion; Aselta Nanographics; Cadence Design Systems; Canon; CEA-Leti; D2S; Dai Nippon Printing; EQUIcon Software GmbH Jena; eSilicon Corporation; Fraunhofer CNT; Fujitsu Semiconductor Limited; GenISys GmbH; GLOBALFOUNDRIES; Grenon Consulting; Hitachi High-Technologies; HOLON CO., LTD; HOYA Corporation; IMS CHIPS; IMS Nanofabrication AG; JEOL; KLA-Tencor; Maglen; Mentor Graphics Corporation; Multibeam Corporation; NCS; NuFlare Technology; Petersen Advanced Lithography; Photronics; Sage Design Automation; Samsung Electronics; STMicroelectronics; Synopsys; tau-Metrix; Tela Innovations; TOOL Corporation; Toppan Printing; Toshiba; Vistec Electron Beam GmbH; Xilinx and ZEISS. Membership is open to all companies and institutions throughout the electronics industry. To find out more, please visit www.ebeam.org.

Leave a Reply

featured blogs
Apr 26, 2024
Biological-inspired developments result in LEDs that are 55% brighter, but 55% brighter than what?...

featured video

Introducing Altera® Agilex 5 FPGAs and SoCs

Sponsored by Intel

Learn about the Altera Agilex 5 FPGA Family for tomorrow’s edge intelligent applications.

To learn more about Agilex 5 visit: Agilex™ 5 FPGA and SoC FPGA Product Overview

featured paper

Designing Robust 5G Power Amplifiers for the Real World

Sponsored by Keysight

Simulating 5G power amplifier (PA) designs at the component and system levels with authentic modulation and high-fidelity behavioral models increases predictability, lowers risk, and shrinks schedules. Simulation software enables multi-technology layout and multi-domain analysis, evaluating the impacts of 5G PA design choices while delivering accurate results in a single virtual workspace. This application note delves into how authentic modulation enhances predictability and performance in 5G millimeter-wave systems.

Download now to revolutionize your design process.

featured chalk talk

Enabling IoT with DECT NR+, the Non-Cellular 5G Standard
In the ever-expanding IoT market, there is a growing need for private, low cost networks. In this episode of Chalk Talk, Amelia Dalton and Heidi Sollie from Nordic Semiconductor explore the details of DECT NR+, the world’s first non-cellular 5G technology standard. They investigate how this self-healing, decentralized, autonomous mesh network can help solve a variety of IoT connectivity issues and how Nordic is helping designers take advantage of DECT NR+ with their nRF91 System-in-Package family.
Aug 17, 2023
30,793 views