feature article
Subscribe Now

Will 2024 Really Be Intel’s Year to Retake the Lead in Semiconductor Process Technology?

Way, way back in my high school senior English class, I learned about the six critical components of a news story: who, what, when, where, why, and extent (5WE). Today’s story focuses on Intel’s expansive plans to retake the lead in the never-ending semiconductor race and primarily covers four of these critical story components: what, when, who, and why. Intel has announced that it plans to catch and then overtake the acknowledged current semiconductor process leader, TSMC, either in 2024 or 2025. This is definitely a reach goal, because Intel slipped its planned schedule when introducing both its 10nm SuperFin (now called Intel 7) and 7nm (now called Intel 4) process nodes. First, we’ll focus on what and when: the planned semiconductor process nodes and when they’ll appear. Then we’ll focus on where: the locations where Intel is investing in new fabs and fab upgrades. Next, we’ll discuss who, because Intel has announced some interesting foundry partners. Finally, we’ll focus on why. To telegraph the conclusion: Intel views process node leadership as an existential facet of its business.

Intel gambled that it would not need EUV lithography for its 10nm SuperFin node. It was a conservative gamble that did not pay off. The introduction of that 10nm node was delayed and Intel started losing the race down the Moore’s Law curve. As an additional consequence, Intel had garnered no EUV experience going into the 7nm node, so that node was late as well because Intel’s process engineers needed to ride the EUV learning curve. Both of those process nodes, now called Intel 7 and Intel 4, respectively, are in production.

Shortly after Pat Gelsinger assumed the CEO reins at Intel in early 2021, he announced process node plans through 2025. Those plans called for storming through five process nodes in four years, including the newly renamed Intel 7 and Intel 4 nodes. Intel announced in mid-2023 that the Intel 3 process node, the company’s final FinFET node, had met its yield and performance targets and would be ready for volume production of the company’s Granite Rapids and Sierra Forest CPUs in 2024.

The next process node, Intel 20A (“A” is for angstrom), introduces two major changes to the company’s process technology. First, FinFETs are out and RibbonFETs are in. RibbonFETs are Intel’s name for gate-all-around (GAA) FETs, which surround the FET channel with the gate for improved control over the gate current and improved performance. Many companies are developing GAA FET processes. In mid-2023, Samsung announced that it had started initial manufacturing of its 3nm process technology, which is based on the company’s Multi-Bridge-Channel FET (MBCFET). That’s the Samsung version of the GAA FET.

Intel 20A also introduces PowerVia, Intel’s backside power technology, into a manufacturing process node. PowerVia technology introduces a host of new process steps into IC manufacturing, because it moves the entire power delivery system from the top of the chip, where it’s been since Fairchild Semiconductor’s Jean Hoerni patented the planar process for making ICs in 1959, to the back side of the chip. Getting power from the back side of the chip to the transistors fabricated on the front side of the chip requires drilling myriad microvias through the thinned silicon wafer and then filling those vias with metal to make the connection from the back-side power delivery system to the transistors.

There are many advantages to back-side power delivery, but two of the most important are the beefing up of the metal used to deliver power to the chip’s transistors, which reduces on-chip power supply droop and noise, and the removal of power distribution wiring from the front side of the chip, which frees up room on the chip’s top metal layers so that the signal routing becomes far less restricted.

Both RibbonFETs and PowerVia technology are risky. Ordinarily, a process development team would introduce only one major change at a time. However, Gelsinger is impatient, and he’s driving this forced march through the process nodes, so both technologies will appear in the Intel 20A process. To ameliorate some of the risk, Intel process engineers created an internal-only process node that added a PowerVia back-side power delivery system to the Intel 4 process node. Intel reported a 6 percent performance improvement from that change alone back in mid-2023. Intel claims that the Intel 20A process will be production ready during the first half of this year. In late 2023, CEO Gelsinger announced that the follow-on process node, Intel 18A, would be production ready before the end of 2024. TSMC has said that its equivalent process node, N2, will be ready in the second half of 2025, so it appears that Intel may indeed be in the lead by the end of this year, assuming everything goes to plan.

Developing a new process node is one thing. Manufacturing chips with new process nodes in production volumes is quite another, so Intel has also mounted huge capital-intensive projects to upgrade some of its existing fabs and to build entirely new fabs as well. This building boom has required the commitment of many tens of billions of dollars from Intel’s treasury and from interested governments. Intel has upgraded a manufacturing fab in Leixlip, Ireland and recently threw the switch on the Intel 4 process at that location. Intel is building two new fabs to run the Intel 20A process in Chandler, Arizona. The company established a new manufacturing site and is building an Intel 18A fab in Licking County, Ohio. Intel also recently announced plans to build a new fab in Magdeburg, Germany, to build chips using the process node that follows Intel 18A. The company is also building fabs in Kiryat Gat, Israel to manufacture chips using its older FinFET process nodes, and the company has announced plans to build new packaging facilities in Malaysia and Poland.

(Note: with so many fab construction and improvement projects in progress and being announced, this long list is undoubtedly incomplete, or will be shortly. In addition, Intel recently announced a delay in construction at the Ohio site due to the slow rollout of government funds from the CHIPS Act. With so many projects in flight, more such delays are possible, if not likely.)

There’s a long-standing proverb that applies to the semiconductor industry: “Fill the Fab.” That’s the only way to control manufacturing costs. An idle fab burns a lot of money. Intel is fortunate to have long had in-demand products to fill its fabs, but Gelsinger is an old hand at the semiconductor business and knows that it takes all kinds of chips to keep the fabs filled. That’s one reason he created Intel Foundry Services (IFS). Consequently, Intel has been cutting foundry deals with customers to help keep its fabs filled.

In addition to direct foundry customers, Intel has also been cutting deals with other semiconductor foundries. When China pocket-vetoed Intel’s purchase of Tower Semiconductor in August 2023, the two companies announced a foundry deal the following month. Intel will provide foundry services and 300mm manufacturing capacity to Tower at its fab in Rio Rancho, New Mexico. For its part of the deal, Tower will buy as much as $300 million worth of manufacturing equipment for the New Mexico facility to run its proprietary semiconductor processes. Tower’s semiconductor processes are not at the cutting edge of lithography, but they’re leading-edge processes for applications such as power management, RF signal processing, and low-power operation. This month, Intel and UMC announced a similar collaboration to develop a 12nm FinFET process node, to be manufactured in Fabs 12, 22 and 32 located at Intel’s Ocotillo Technology Fabrication site in Chandler, Arizona.

Robert Noyce and Gordon Moore founded Intel in 1968. By 1971, the company had developed the first commercial DRAM, thus creating the DRAM market, the first commercial microprocessor, thus creating the microprocessor market, and the first EPROM, thus creating the non-volatile semiconductor memory market. Intel has long occupied a top-10 slot among semiconductor makers. The company took the #1 position in semiconductor sales in 1992 and kept that pole position until 2018, when Samsung took over as top semiconductor seller thanks to rising DRAM prices. However, DRAM prices have fallen, and Intel is once more in the pole position.

Intel drops entire markets when the products in those markets lose their high profitability. Intel abandoned the DRAM market in 1985 as a way to save the company from disappearing as the Japanese DRAM vendors ate into Intel’s market share. Intel has been in and out of the non-volatile semiconductor memory and is currently out of it, having sold off its Flash memory business in 2020. In addition, Intel is currently spinning out the FPGA business that it acquired in 2015 when it bought Altera, but that’s OK, because the spun-out FPGA company will immediately become a large customer for IFS. (The big unveiling of the new FPGA company is scheduled for February 29.)

However, Intel cannot let go of its core product lines in the microprocessor business without winking out of existence, because it has no other large businesses to fall back upon. To keep that business, Intel must fend off its long-time adversary, AMD, which has been making inroads into the PC and server CPU businesses thanks, in part, to Intel’s loss of process leadership. So, this is an existential matter for Intel. Because TSMC manufactures AMD’s processors, Intel’s strategy for leading in the microprocessor arena must include beating TSMC to each new semiconductor process node while designing advanced processors for each new node. Losing this race would mean eventual oblivion for Intel.

The Gelsinger-instigated march through five process nodes in four years is part of the strategy for winning this race, and I think that Gelsinger’s IFS and its planned role as a major semiconductor foundry is one of the ways he’s keeping Intel’s technology development and manufacturing teams firmly focused on aggressive process development and fab building. That’s not to say that there are not myriad other elements in Gelsinger’s strategy as well. Taking Gelsinger at his word and TSMC at its word, it seems that Intel could indeed retake semiconductor process leadership from TSMC this year. If Gelsinger and Intel do succeed, it will be yet another hard-won victory for a company that’s done quite a lot for the semiconductor industry over the past half century.

Leave a Reply

featured blogs
Apr 26, 2024
LEGO ® is the world's most famous toy brand. The experience of playing with these toys has endured over the years because of the innumerable possibilities they allow us: from simple textbook models to wherever our imagination might take us. We have always been driven by ...
Apr 26, 2024
Biological-inspired developments result in LEDs that are 55% brighter, but 55% brighter than what?...
Apr 25, 2024
See how the UCIe protocol creates multi-die chips by connecting chiplets from different vendors and nodes, and learn about the role of IP and specifications.The post Want to Mix and Match Dies in a Single Package? UCIe Can Get You There appeared first on Chip Design....

featured video

MaxLinear Integrates Analog & Digital Design in One Chip with Cadence 3D Solvers

Sponsored by Cadence Design Systems

MaxLinear has the unique capability of integrating analog and digital design on the same chip. Because of this, the team developed some interesting technology in the communication space. In the optical infrastructure domain, they created the first fully integrated 5nm CMOS PAM4 DSP. All their products solve critical communication and high-frequency analysis challenges.

Learn more about how MaxLinear is using Cadence’s Clarity 3D Solver and EMX Planar 3D Solver in their design process.

featured paper

Designing Robust 5G Power Amplifiers for the Real World

Sponsored by Keysight

Simulating 5G power amplifier (PA) designs at the component and system levels with authentic modulation and high-fidelity behavioral models increases predictability, lowers risk, and shrinks schedules. Simulation software enables multi-technology layout and multi-domain analysis, evaluating the impacts of 5G PA design choices while delivering accurate results in a single virtual workspace. This application note delves into how authentic modulation enhances predictability and performance in 5G millimeter-wave systems.

Download now to revolutionize your design process.

featured chalk talk

Improving Chip to Chip Communication with I3C
Sponsored by Mouser Electronics and Microchip
In this episode of Chalk Talk, Amelia Dalton and Toby Sinkinson from Microchip explore the benefits of I3C. They also examine how I3C helps simplify sensor networks, provides standardization for commonly performed functions, and how you can get started using Microchips I3C modules in your next design.
Feb 19, 2024
9,539 views