feature article
Subscribe Now

A Brief and Personal History of EDA, Part 4: Cadence, Synopsys, and Mentor Graphics – The EDA Era

Rising complexity drove the creation of ever-more-powerful tools for electronic design. When circuit board and IC layouts escaped the bounds of pencil, paper, and manual dexterity, CAD tools from Applicon, Calma, and Computervision appeared. When polygon representations no longer sufficed as the first gate arrays appeared, CAE tools from Daisy, Mentor, and Valid appeared. These CAE companies attempted to provide all-in-one design suites for ICs and circuit boards. However, Moore’s Law drove IC complexity far beyond the abilities of these CAE design tool suites. More complex ICs demanded even more refined tools for logic simulation, timing analysis, and design rule checking, driving the CAE Era to evolve into the EDA Era. As with the CAD and CAE Eras, three companies again came to dominate the EDA Era: Cadence, Synopsys, and Mentor Graphics.

Cadence Design Systems

Jim Solomon earned his MSEE degree from the University of California, Berkeley in 1960, during the war in Vietnam. He quickly latched onto a job with the Motorola Systems Research Lab in Riverside, California because, as Solomon explains in his oral history, “It had to be a defense job, otherwise I’d get drafted.” After three years of working on radar and missile control systems, he realized that he didn’t want to work on military projects any longer. Solomon transferred to Motorola’s Semiconductor Products Division in Phoenix and spent the next seven years there designing analog ICs including op amps, voltage regulators, analog multipliers, TV circuits, and stereo decoders.

Then C. Lester Hogan and several of his lieutenants left Motorola to shore up the managerial hole at Fairchild Semiconductor, created by the departure of Robert Noyce, Gordon Moore, and several other talented managers and technologists. In some sort of strange analog to hole flow in semiconductors, Hogan’s departure created a similar hole at Motorola, and Solomon started looking for alternative employment. He landed at National Semiconductor, managing a team that developed mixed-signal analog devices including A/D and D/A converters, switched-capacitor filters, and telephone ICs.

Solomon’s curiosity was piqued by the early personal computers, so he bought a Radio Shack TRS-80 microcomputer and taught himself how to program. Before long he’d coded op amp theory into the TRS-80 from his 1974 technical paper on the subject and started developing high-level computer macromodels for the analog circuits that his teams were developing at National Semiconductor. Soon, he had a model library that included every important op amp that had been designed until then. He also coded test circuits and ran circuit simulations on the TRS-80 using a telephone modem to connect to a timeshare computer running a SPICE circuit simulator. Solomon’s macromodels ran up to 100x faster than transistor-level SPICE models. Along the way, Solomon also learned how to write editors, parsers, test languages, and control programs. Meanwhile at National, the ICs were getting too big to design manually. Solomon’s TRS-80 experience showed that computers could do something to help.

Around 1980, Solomon started discussing his ideas for IC design automation with his UC Berkeley connections: Professors Don Pederson (creator of SPICE), Alberto Sangiovanni-Vincentelli, and Richard Newton. Initially, Solomon thought he’d be developing design tools for his group at National Semiconductor. Eventually, Solomon realized that his ideas and aspirations were too big for one semiconductor company. In his oral history, he says:

“I thought about this for weeks, and finally decided the best approach would be to form a new company funded by a consortium of semiconductor companies – the customers for the CAD tools. It would take too much money for one company such as National Semiconductor to fund alone.”

Solomon approached National’s CEO Charlie Spork with this idea. In his oral history, he said:

“Most CEOs would be worried that I might run off to a startup – and they would do whatever they could to talk me out of it. That was my immediate boss’s position. Charlie did the reverse. He helped me in every way he could, and he became the first investor in the new venture.”

With that seed money, Solomon visited about 20 potential semiconductor partners throughout the US and Europe. Only Harris Semiconductor bought into Solomon’s vision at first. Eventually, L.M. Ericsson joined in. Solomon Design Automation (SDA) incorporated in July 1983 and started hiring immediately. Eighteen months later, the company exhibited its first EDA system at the 1985 DAC in Las Vegas.

SDA focused primarily on IC design tools, reflecting Solomon’s decades-long experience in IC design. Sales grew and SDA started considering an IPO in 1987. The company got ready for the IPO and scheduled it for Monday, October 19, 1987. On the stock market, that date is known as Black Monday because that’s the day when the Dow Jones Industrial Average dropped 22.6%. SDA scrapped its IPO.

Instead, SDA decided to go public by merging with another EDA company, ECAD, which had already gone public. Glen Antle started ECAD with Paul Huang and Ping Chao in 1982. Antle had been working in the microelectronics products division of Systems Engineering Laboratories (SEL) when Gould purchased the company and spun out its CAD group. That group became ECAD, which introduced a design-rule checker named Dracula in 1983. Dracula quickly dominated the market. ECAD went public in 1987, months before Black Monday, and merged with SDA in 1988. The merged company became Cadence Design Systems.

Neither Solomon nor Antle wanted to be Cadence’s CEO. Solomon nominated Joe Costello, a rising star at SDA, to be the new CEO. Antle was put off by Costello’s youth (he was under 30 years old at the time) but agreed to try Costello out for three months as COO. Antle relented in less than a month. He was impressed by Costello and told Solomon that Costello was better than advertised. Joe Costello became Cadence’s CEO while Antle and Solomon went off looking for something else to do. Costello then set off to build an EDA empire.

Synopsys

According to Aart de Geus’s oral history, he met Ron Rohrer the minute that he arrived at Southern Methodist University (SMU) in Dallas, Texas to work on a PhD. Rohrer had written the predecessor to the SPICE simulator, which was called “Computer Analysis of Nonlinear Circuits, Excluding Radiation” or CANCER, at UC Berkeley and had just become chairman of SMU’s EE department. That same day, Rohrer became de Geus’s PhD advisor. The benefits of that meeting and that relationship started immediately and lasted for many years.

As de Geus describes this ongoing relationship in his oral history:

“…Ron, who has moved to many places, didn’t stay particularly long at SMU. After about a year, year and a half, he moved on, and ultimately, he ended up at General Electric. By the time I had finished my coursework, it was time to figure out what to do as a PhD thesis. He was still affiliated with SMU, and he said, ‘Why don’t you just come spend a few days at my place?’ at that time, in Charlottesville, Virginia.

“I ended up staying three months at his place, which gives a new meaning to the notion of mentoring somebody. It turns out, I think Ron has only had about eight or nine PhD students over his lifetime, and I think they all have had various forms of pretty good contributions. But part of it is this nurturing by virtue of just having a glass of wine together.

“But the other thing that happened, as I stayed there for these three months, he had meanwhile become a manager at General Electric, and essentially signed me up to start working at GE. He said, ‘Well, you’ll do your PhD while you work at GE.’ Well, the reality is, I really did my PhD at night and very quickly the GE work became very interesting, and I had an opportunity to build things there as well.”

GE was one of the original semiconductor makers, having created GE Solid State in 1954 to make germanium transistors. The company bought semiconductor maker Intersil in 1980 and then bought one of the original CAD companies, Calma, on April 1, 1981. GE also created an electronic design automation group in North Carolina, where both Rohrer and de Geus moved in 1981. A semiconductor downturn in 1985 coupled with poor financial performance by Calma reflected badly on GE CEO Jack Welch’s managerial acumen. Welch thought there were more profitable things to do, and GE lost its interest in semiconductors.

Facing an imminent layoff (Welch’s nickname being “Neutron Jack” for the ease at which he terminated GE employees while leaving the buildings standing to cut costs and boost productivity), de Geus interviewed with other companies involved in semiconductors and discovered that much of the technology developed by GE’s design automation group was quite advanced relative to similar departments at other companies. So, de Geus wrote a business plan for an EDA company, using Jim Solomon’s original business plan for SDA as a template, and presented it to GE’s vice chairman. He got a “yes” and a commitment for $1 million in venture funding during that meeting. His business plan called for $5 million, so all he needed to do was raise another $4 million. That task took a lot longer than an hour, but de Geus was able to found his EDA business, initially called Optimal Solutions, in 1986. A year later, the company renamed itself and became Synopsys. The company’s first product was a logic synthesis tool.

Previously, GE’s design automation group had developed a logic synthesis program called SOCRATES to aid in the development of designs for GE’s gate arrays. As de Geus explains in his oral history:

“The first benefit was you would write the function and 20 minutes later, you’d actually have a netlist. So right there, that’s called automation. The second benefit was, compared to you doing it manually, [SOCRATES] typically used fewer gates. Right there, that’s a big benefit because fewer is better, because fewer ultimately ends up in smaller area on a chip. The benefit that came later, as we evolved this, is we also managed to start looking at, ‘Well, where is the longest signal through this, and can we make it shorter?’ i.e., Can we make the circuits faster?’ That in itself evolved dramatically over the years ensuing and really became a differentiator later in this story. But essentially it was the automation of a step that humans could do, and humans were very good if you had three, four gates. By the time you had 30 [gates], it’s really hard. By the time you have 300, it is completely impossible to do manually.”

Synopsys rewrote SOCRATES, porting it from Fortran. Then de Geus took the demo program on the road. One of his stops was at Sun Microsystems where he demonstrated the tool to Sun founder Andy Bechtolsheim. In his oral history, de Geus described what happened next:

“They decided to do a benchmark and a benchmark meant at that time that people would give us a circuit that they had already designed and see if we could improve on it. We put the circuit in and literally in a matter of minutes, we have something that is 30% smaller and 30% faster. Of course, the first reaction is, ‘This is wrong. There’s no way. I’ve slaved on this circuit for three weeks or three months. There’s no way this is right. Okay, we’ll go home and check it out.’ Then about two or three weeks later, they come back and say it was right. ‘We checked it out. It’s really working.’”

Demos like this firmly established Synopsys as an EDA powerhouse. Like Costello at Cadence, de Geus then set out to create an EDA empire.

Mentor Graphics

When Wally Rhines became the CEO of CAE vendor Mentor Graphics in 1993, he was not trying to build an EDA empire. He was too busy trying to prevent Mentor from going out of business. As discussed in the previous article in this series, Mentor had invoked the Osborne Effect by pre-announcing Version 8.0, which was late and slow. Mentor’s revenue climb plateaued, and sales then dropped in 1991, 1992, and 1993. As Rhines describes in his book, From Wild West to Modern Life, several fortuitous things saved Mentor from oblivion:

“Mentor’s bet on Version 8.0 had taken it from the #1 position in EDA to #3. Most software companies never recover from that type of decline. Yet, I came to Mentor with an optimistic view. After all, most companies that have failed product generations can quickly shift to other innovations they have on the shelf and re-generate their momentum. However, there wasn’t a lot on the shelves to build upon, and almost everyone in the company had been moved to the Falcon [Version 8.0] project to try to save it.

“We were able to stop the cash drain with some painful workforce reductions and a decrease in Version 8.0 spending that allowed us to find areas where we could be the de facto standard. The shelves were not totally bare. For example, Mentor’s system design business succeeded despite the difficulties of the Falcon Version 8.0 transition. Russ Henke, who managed the PCB business at that time, did not believe that Version 8.0 would ever work. So he followed a path, common in many companies, of quiet non-compliance. He instructed his PCB team to develop a ‘wrapper’ to interface to Version 8.0, just in case it worked, and then proceeded to invest in the traditional PCB design business, consistently growing PCB revenue throughout the period of Version 8.0 chaos and into the 1990s.

“The Mentor sales force had very little to sell after the announcement that Version 7.0 would not be extended but would be replaced by Version 8.0 whenever that environment became available. An innovative sales team working with the “Value Added Services” group sought out new users for the existing products that were not affected by the Version 8.0 transition. PCB schematic capture was one of those products. They [the Value Added Services group] found a local customer in Portland, Freightliner, who manufactured trucks and is now owned by Daimler.

“Convincing them to move from manual wiring design to EDA couldn’t have been easy, but they became the first adopters of a ‘field-developed’ product named ‘LCable,’ a name that reflected its use in the design and verification of cabling and wire harnesses for trucks and cars. Adoption by other automotive and aerospace companies proceeded slowly but, over the decade starting in 1992, the complexity of automotive and aerospace electronics increased so much that the need for EDA became apparent.”

Rhines, as one of Mentor’s customers when he worked for Texas Instruments (TI), knew that Mentor had leading-edge emulation technology. Unfortunately, that technology had been sold to Quickturn Design Systems. Rhines also knew that Mentor had signed an OEM licensing agreement for TI’s physical verification software and sold it as the “Checkmate” tool, so that seemed to be a possible strength for the company. However, TI refused to extend the Checkmate license, so Mentor bought the rights to the software, rewrote it, and marketed it under the name “Calibre.” One more tool in the new toolbox. Mentor was able to get the major IC foundries – TSMC, UMC, and GlobalFoundries – to adopt Calibre. Rhines writes:

“As things progressed, Mentor had a lot of strong, even best-in-class tools: Calibre physical verification, Tessent design-for-test, Expedition PCB design, Calypto/Catapult high-level synthesis, automotive embedded electronics, and eight others, by the metric provided in the official Gary Smith EDA analyses. Fortunately, Synopsys eventually decided that they didn’t have to do everything; they could pursue new areas that Mentor was not pursuing. That allowed a level of diversification that had not been common in the EDA industry.”

In his effort to right the ship, Rhines had managed to start Mentor’s EDA empire. The stage was set. The Game of Thrones, EDA Edition was about to commence.

References

Oral History of James E. “Jim” Solomon, Computer History Museum, May 7, 2013

Oral History of Aart de Geus, Computer History Museum, May 15, 2009

Dr. Walden C. Rhines, “From Wild West to Modern Life,” A SemiWiki Project, 2015

Leave a Reply

featured blogs
Apr 26, 2024
LEGO ® is the world's most famous toy brand. The experience of playing with these toys has endured over the years because of the innumerable possibilities they allow us: from simple textbook models to wherever our imagination might take us. We have always been driven by ...
Apr 26, 2024
Biological-inspired developments result in LEDs that are 55% brighter, but 55% brighter than what?...
Apr 25, 2024
See how the UCIe protocol creates multi-die chips by connecting chiplets from different vendors and nodes, and learn about the role of IP and specifications.The post Want to Mix and Match Dies in a Single Package? UCIe Can Get You There appeared first on Chip Design....

featured video

How MediaTek Optimizes SI Design with Cadence Optimality Explorer and Clarity 3D Solver

Sponsored by Cadence Design Systems

In the era of 5G/6G communication, signal integrity (SI) design considerations are important in high-speed interface design. MediaTek’s design process usually relies on human intuition, but with Cadence’s Optimality Intelligent System Explorer and Clarity 3D Solver, they’ve increased design productivity by 75X. The Optimality Explorer’s AI technology not only improves productivity, but also provides helpful insights and answers.

Learn how MediaTek uses Cadence tools in SI design

featured paper

Designing Robust 5G Power Amplifiers for the Real World

Sponsored by Keysight

Simulating 5G power amplifier (PA) designs at the component and system levels with authentic modulation and high-fidelity behavioral models increases predictability, lowers risk, and shrinks schedules. Simulation software enables multi-technology layout and multi-domain analysis, evaluating the impacts of 5G PA design choices while delivering accurate results in a single virtual workspace. This application note delves into how authentic modulation enhances predictability and performance in 5G millimeter-wave systems.

Download now to revolutionize your design process.

featured chalk talk

Electrical Connectors for Hermetically Sealed Applications
Sponsored by Mouser Electronics and Bel
Many hermetic chambers today require electrical pathways to provide internal equipment with power, data or signals, or to receive data and signals from equipment within the chamber. In this episode of Chalk Talk, Amelia Dalton and Brad Taras from Cinch Connectivity Solutions explore the role that seals and connectors play in the performance of hermetic chambers. They examine the methodologies to determine hermetic seal leaks, the benefits of epoxy hermetic seals, and how Cinch Connectivity’s epoxy-based seals and hermetic connectors can add value to your next design.
Aug 22, 2023
29,665 views