feature article
Subscribe Now

Paint-by-number ASSP

Xilinx Releases Targeted Design Platforms

If we could have our druthers, we’d like ASSPs for everything.  Marketing would come in and tell us they needed a combo camera-phone-studfinder-laserlevel, and we’d say “No Problem, you’ll have it by next Wednesday.”  Then, we’d grab our magic universal ASSP catalog, turn to page 13,562, and order up the low-power version of the CCPSLL40-LP (the 40nm low-power combo camera-phone-studfinder-laserlevel chip.) It would come with the eval board, of course, and by two hours after FedEx dropped off the package, we’d have our prototype hooked up to a CCD camera and GSM module, and we’d be e-mailing photos to ourselves (via 3G, of course) of a laser rectangle projected on the wall where the painting would hang, with the stud locations identified and overlayed for the contractor.  

Now we can take the next couple of days to play golf and call marketing in on Tuesday (a day early, for good measure) for their demo.

OK, and since we’re talking druthers, we want to be able to squish in a little customization to OUR device – so it has some nice little advantage over the other devices that also are based on the CCPSLL40-LP.  Marketing likes their differentiators.  Oh, and while we’re at it – we need to add a port to connect the module for our “wireless carpenter” series appliances.

The problem is, of course, that no such ASSP exists, nor does our fictional 14,000- page catalog.  The ASSP business is high-risk and getting tougher by the minute.  Nobody wants to risk the huge investment it takes to get a 40nm chip out the door on the hopes that some narrow market segment will order up enough of them to offset the NRE.  You, Mr. Studfinder-laser-level-camera-phone, are out of luck.  

Xilinx is trying to get you one step closer to that dream, however.  We’ve talked for awhile (mainly in concept) about Xilinx’s “Targeted Design Platforms.”  Now they’ve released six actual kits, bringing some reality to that promise.  What is a Targeted Design Platform?  Think of it as a paint-by-number ASSP.  Since you can’t open up a catalog and get the exact ASSP you need for your job, and since you don’t have the budget, the time, and maybe the expertise to do a full ASIC version of your design, you get a kit that makes it drop-dead easy to get 80-90% of the way to your objective – using an FPGA platform.  If a normal FPGA development kit was a blank canvas and a bunch of paint (or sometimes just the URL of the paint store’s website), Targeted Design Platforms come with a mostly finished painting, all the background in place and dry, most of the scenic elements penciled in for you with number-coded regions to apply your paint… which is also included.  Spend a couple hours, and you look like a master artist – with a perfect, professional result – and no art school. 

If you’re a black-belt FPGA designer already, this just saves you some time (OK, maybe a LOT of time in most cases.)  If you come from a different design discipline, however, this can be an enormous help.  You can take advantage of the power and flexibility offered by FPGAs without first having to become an expert at FPGA design, and then trying to apply that to your application domain.  Also, these kits will speak your language.  If you are a DSP designer, accustomed to communicating in DSP-ese, you’ll find yourself right at home bringing up one of these kits.

The six design kits announced by Xilinx in this round are (we believe) just the  tip of the iceberg.  There are three pairs of kits, actually, with each pair having a Virtex-6 and a Spartan-6 version.  The themes of these kits are fairly general – Connectivity, Embedded, and DSP.  Each kit includes a version of Xilinx’s ISE Design Suite, scalable development boards, a selection of IP cores, reference designs, documentation, and cables.  Each kit is based around a pre-verified “Targeted Reference Design” that is complete enough to use as-is for a base application but can be modified and extended to add your own magic.

These kits are all at what Xilinx calls the “domain” level – very general design types that have a great deal in common.  In the future, we expect to see more specific kits aimed at particular markets and applications.  At this level, however, there is still a great deal of pre-painted canvas.  The connectivity kit uses IP from Xilinx and Northwest Logic to implement a PCIe to XAUI or GbEthernet Bridge.  The Virtex-6 version can go from PCIe gen 1, gen 2, x1, x2, or x4.  The Spartan-6 version of the kit goes from PCIe gen 1 to GbEthernet, and uses Northwest Logic’s DMA engine IP.  The reference design that comes with each kit demonstrates a fully-functional bridge that uses four functional clock domains running up to 250HMz.

The contribution to this kit from Northwest Logic points out another possible turning point in Xilinx’s strategy.  Until recently, the company has been known as a go-it-alone player, seeking to keep silicon, tools, IP, and development kits very close to home.  While it’s true that Xilinx has done a lot of partnering, the road for third-parties trying to make a business in the Xilinx ecosystem was rocky at best.  Now Xilinx seems to be opening up their borders and realizing that expanding FPGA technology into new frontiers requires win-win partnerships and close cooperation.   

The embedded development kits do what you’d expect – they get you up and running with a basic embedded computing system on an FPGA with most of the bells and whistles.  Plug it in, turn it on, and you should be pretty close to the point where you can start running (and editing) embedded software.  The embedded kit comes with the SDK (software development kit) and the Embedded Edition of the ISE Design Suite.  The reference design gives you a fully-implemented MicroBlaze 32-bit RISC soft processor core with a set of common peripherals including UART, multi-port memory controller, flash, tri-mode Ethernet MAC, GPIO, i2C/SPI, timers and interrupt controllers, and debug ports.  From that point, of course, you can customize everything.

The DSP kits come with a number of DSP blocks and include the ISE Design Suite System Edition, including the System Generator design environment.  This allows you to take a block-based approach to DSP design, building your datapath from various processing elements.  

The development boards included with all these kits are a new generation as well.  Previously, Xilinx had a huge line of development boards with different combinations of connectors, peripherals, and add-ons.  Now, they’re consolidating those into a nice set of modular, expandable boards that use the FMC connector standard to add a variety of peripheral and add-on cards – from Xilinx and from third parties.

Clearly, Xilinx is working to expand FPGA use beyond the boundaries of the FPGA “expert” community.  By creating kits that get you 80%-90% of the way to your application – without your having to dive in with blank VHDL pages, synthesis pragmas, timing violations, and place-and-route reports – they’ve reduced the new-user intimidation factor dramatically.  

The real promise of this strategy is yet to be realized.  While these initial domain-specific kits may be helpful to a lot of people, the value will shoot up exponentially when Xilinx and their partners start to get into more specific application areas.  Then, Targeted Design Platforms will truly start putting FPGAs into newer and more interesting applications.

Leave a Reply

featured blogs
Apr 25, 2024
Structures in Allegro X layout editors let you create reusable building blocks for your PCBs, saving you time and ensuring consistency. What are Structures? Structures are pre-defined groups of design objects, such as vias, connecting lines (clines), and shapes. You can combi...
Apr 25, 2024
See how the UCIe protocol creates multi-die chips by connecting chiplets from different vendors and nodes, and learn about the role of IP and specifications.The post Want to Mix and Match Dies in a Single Package? UCIe Can Get You There appeared first on Chip Design....
Apr 18, 2024
Are you ready for a revolution in robotic technology (as opposed to a robotic revolution, of course)?...

featured video

MaxLinear Integrates Analog & Digital Design in One Chip with Cadence 3D Solvers

Sponsored by Cadence Design Systems

MaxLinear has the unique capability of integrating analog and digital design on the same chip. Because of this, the team developed some interesting technology in the communication space. In the optical infrastructure domain, they created the first fully integrated 5nm CMOS PAM4 DSP. All their products solve critical communication and high-frequency analysis challenges.

Learn more about how MaxLinear is using Cadence’s Clarity 3D Solver and EMX Planar 3D Solver in their design process.

featured paper

Designing Robust 5G Power Amplifiers for the Real World

Sponsored by Keysight

Simulating 5G power amplifier (PA) designs at the component and system levels with authentic modulation and high-fidelity behavioral models increases predictability, lowers risk, and shrinks schedules. Simulation software enables multi-technology layout and multi-domain analysis, evaluating the impacts of 5G PA design choices while delivering accurate results in a single virtual workspace. This application note delves into how authentic modulation enhances predictability and performance in 5G millimeter-wave systems.

Download now to revolutionize your design process.

featured chalk talk

USB Power Delivery: Power for Portable (and Other) Products
Sponsored by Mouser Electronics and Bel
USB Type C power delivery was created to standardize medium and higher levels of power delivery but it also can support negotiations for multiple output voltage levels and is backward compatible with previous versions of USB. In this episode of Chalk Talk, Amelia Dalton and Bruce Rose from Bel/CUI Inc. explore the benefits of USB Type C power delivery, the specific communications protocol of USB Type C power delivery, and examine why USB Type C power supplies and connectors are the way of the future for consumer electronics.
Oct 2, 2023
26,113 views