industry news
Subscribe Now

Hitachi Tapes Out 28nm Design with Cadence Tempus Timing Signoff Solution, Reducing Timing Closure by One Month

  • Hitachi chooses Tempus Timing Signoff Solution to tape out their latest giga-scale design at the 28nm process node.
  • Hitachi also used Tempus physically aware Timing Signoff Optimization (TSO) to significantly reduce design closure phase, as well as QRC to improve overall throughput for multi-corner parasitic extraction.
  • To achieve the best correlation to SPICE, Hitachi utilized ECSM timing models for their standard cell library.

Cadence Design Systems, Inc. (NASDAQ: CDNS), a leader in global electronic design innovation, today announced that Hitachi has taped out its latest giga-scale design using the Cadence Tempus™ Timing Signoff Solution . Hitachi also utilized Tempus Timing Signoff Optimization (TSO), resulting in a reduction of their overall closure time to just 3 weeks down from almost 2 months. This represents a significant improvement in ECO iterations versus their previous solution. The Tempus solution’s advanced capabilities were able to analyze over 50M cells flat in the design, an analysis that normally requires a hierarchical signoff flow. Hierarchical strategies were used extensively during the implementation phase; however, flat analysis was needed at signoff to ensure the best accuracy.

The Tempus solution is the lead tool in a new class of massively parallel timing signoff tools and capabilities, which enable customers to shrink timing signoff closure and analysis turnaround time to a minimum. In addition to faster time-to-tapeout, designs are produced with less pessimism, area and power consumption through physically aware and path-based analysis optimization. By combining the massively parallelized capabilities of Tempus and QRC together and leveraging native database formats, Hitachi was able to improve time-to-tapeout well beyond those of existing mixed tool flows.

“The size and complexity characteristics of our latest design required a timing solution that could handle 50M cells quickly and efficiently,” said Yuko Ito, director for Design Engineering First Department, Platform Advanced Engineering Operation, Information & Telecommunication Systems Company, Hitachi, Ltd. “The Tempus solution met our turnaround time challenge while ensuring the highest level of correlation to SPICE.”

In addition, Toru Hiyama, general manager for Platform Advanced Engineering Operation, Information & Telecommunication Systems Company, Hitachi, Ltd. remarked, “The Tempus Timing Signoff Solution and QRC were the right timing platform to address our signoff analysis and closure needs. With strong support from Cadence, we expect continued success in taping-out leading-edge designs at 28nm and beyond.”

“We have worked very closely with Hitachi to ensure that the Tempus solution fulfills the requirements of its next generation of product design cycles,” said Anirudh Devgan, senior vice president of the Digital and Signoff Group (DSG) at Cadence. “The Tempus solution is the first innovation in a platform of signoff tools that brings a scalable and complete signoff solution to our customers now and at smaller process nodes.”

To learn more about Tempus Timing Signoff Solution capabilities, please visit: www.cadence.com/products/mfg/tempus.

About Cadence

Cadence enables global electronic design innovation and plays an essential role in the creation of today’s integrated circuits and electronics. Customers use Cadence® software, hardware, IP, and services to design and verify advanced semiconductors, consumer electronics, networking and telecommunications equipment, and computer systems. The company is headquartered in San Jose, Calif., with sales offices, design centers, and research facilities around the world to serve the global electronics industry. More information about the company, its products, and services is available here.

Leave a Reply

featured blogs
Apr 26, 2024
LEGO ® is the world's most famous toy brand. The experience of playing with these toys has endured over the years because of the innumerable possibilities they allow us: from simple textbook models to wherever our imagination might take us. We have always been driven by ...
Apr 26, 2024
Biological-inspired developments result in LEDs that are 55% brighter, but 55% brighter than what?...
Apr 25, 2024
See how the UCIe protocol creates multi-die chips by connecting chiplets from different vendors and nodes, and learn about the role of IP and specifications.The post Want to Mix and Match Dies in a Single Package? UCIe Can Get You There appeared first on Chip Design....

featured video

How MediaTek Optimizes SI Design with Cadence Optimality Explorer and Clarity 3D Solver

Sponsored by Cadence Design Systems

In the era of 5G/6G communication, signal integrity (SI) design considerations are important in high-speed interface design. MediaTek’s design process usually relies on human intuition, but with Cadence’s Optimality Intelligent System Explorer and Clarity 3D Solver, they’ve increased design productivity by 75X. The Optimality Explorer’s AI technology not only improves productivity, but also provides helpful insights and answers.

Learn how MediaTek uses Cadence tools in SI design

featured paper

Designing Robust 5G Power Amplifiers for the Real World

Sponsored by Keysight

Simulating 5G power amplifier (PA) designs at the component and system levels with authentic modulation and high-fidelity behavioral models increases predictability, lowers risk, and shrinks schedules. Simulation software enables multi-technology layout and multi-domain analysis, evaluating the impacts of 5G PA design choices while delivering accurate results in a single virtual workspace. This application note delves into how authentic modulation enhances predictability and performance in 5G millimeter-wave systems.

Download now to revolutionize your design process.

featured chalk talk

TE Connectivity MULTIGIG RT Connectors
In this episode of Chalk Talk, Amelia Dalton and Ryan Hill from TE Connectivity explore the benefits of TE’s Multigig RT Connectors and how these connectors can help empower the next generation of military and aerospace designs. They examine the components included in these solutions and how the modular design of these connectors make them a great fit for your next military and aerospace design.
Mar 19, 2024
5,437 views