feature article
Subscribe Now

Unlock New Levels of Productivity for Your Design Using ISE Design Suite 12

In addition to the anticipated performance improvements commensurate with the production release of a Xilinx tool suite, the release of ISE v12 software unveils significant innovations with far-reaching potential. A new power-optimization capability called intelligent clock gating can reduce dynamic power by up to 30%. An innovation called design preservation vastly improves the user’s ability to achieve and maintain timing closure and design repeatability. An intuitive, fourth-generation partial reconfiguration design flow has already begun proving its ability to enable designers to reduce the size, cost, and power of their designs. With the introduction of AXI4, Xilinx has enabled the creation of a vast, ecosystem-supported plug-and-play IP library for Xilinx FPGAs that provides easy access to new and existing IP of both the memory-mapped and data-streaming varieties.

These innovations deliver unparalleled value in the three most important criteria for next-generation FPGA designs: better power efficiency, increased productivity, and higher performance.

Power Optimization

Building on a well-known but often under-utilized power-optimizing design methodology called clock gating, ISE Design Suite v12 introduces the first automated, intelligent clock gating technology for FPGA design. With this capability, the tool automatically neutralizes unnecessary logic activity, reducing dynamic power usage up to 30%. ISE v12 software also introduces the fourth-generation partial reconfiguration technology, which, when combined with the design flow in ISE v12 software, provides a simple, intuitive approach to on-the-fly reuse of FPGA resources, creating additional opportunities to reduce power.

Productivity

ISE v12 software breaks new ground in design productivity enhancements with the introduction of design preservation—the ability to partition and lock down the placement and routing of timing-critical portions of a design, thus enabling the designer to achieve and maintain timing repeatability. This new partitioning technology figures prominently in the deployment of partial reconfiguration. ISE v12 software also introduces next-generation Advanced Microcontroller Bus Architecture (AMBA® protocol) IP, interconnect, and tool support. Xilinx has been intimately involved with ARM on the development of the updated version 4 open standard interface specification to enable the development and delivery of plug-and-play IP from Xilinx and third-party ecosystem providers—an advance that can provide the most valuable benefit to design productivity.

Performance

ISE v12 software supports production Spartan-6 and Virtex-6 FPGA devices and IP with fully optimized place-and-route and synthesis algorithms, improving Quality of Results (QoR) and greatly decreasing synthesis and implementation runtimes. An enhancement to SmartXplorer in the ISE v12 software release accelerates timing closure by enabling exploration of design strategies in the synthesis space.

Leave a Reply

featured blogs
May 2, 2024
I'm envisioning what one of these pieces would look like on the wall of my office. It would look awesome!...
Apr 30, 2024
Analog IC design engineers need breakthrough technologies & chip design tools to solve modern challenges; learn more from our analog design panel at SNUG 2024.The post Why Analog Design Challenges Need Breakthrough Technologies appeared first on Chip Design....

featured video

Introducing Altera® Agilex 5 FPGAs and SoCs

Sponsored by Intel

Learn about the Altera Agilex 5 FPGA Family for tomorrow’s edge intelligent applications.

To learn more about Agilex 5 visit: Agilex™ 5 FPGA and SoC FPGA Product Overview

featured paper

Designing Robust 5G Power Amplifiers for the Real World

Sponsored by Keysight

Simulating 5G power amplifier (PA) designs at the component and system levels with authentic modulation and high-fidelity behavioral models increases predictability, lowers risk, and shrinks schedules. Simulation software enables multi-technology layout and multi-domain analysis, evaluating the impacts of 5G PA design choices while delivering accurate results in a single virtual workspace. This application note delves into how authentic modulation enhances predictability and performance in 5G millimeter-wave systems.

Download now to revolutionize your design process.

featured chalk talk

Advantech Industrial AI Camera: Small but Mighty
Sponsored by Mouser Electronics and Advantech
Artificial intelligence equipped camera systems can be a great addition to a variety of industrial designs. In this episode of Chalk Talk, Amelia Dalton and Ryan Chan from Advantech explore the components included in an industrial AI camera system, the benefits of Advantech’s AI ICAM-500 Industrial camera series and how you can get started using these solutions in your next industrial design. 
Aug 23, 2023
30,438 views