industry news
Subscribe Now

Real Intent Launches Verix PhyCDC – the Next Innovation in SoC Design Sign-off

New Analysis Tool Brings Giga-Scale Capacity and Fastest Analysis to Gate-Level Designs

SUNNYVALE, CA– (June 18, 2018) – Real Intent, Inc., a leading provider of SoC and FPGA sign-off verification solutions, today announced Verix PhyCDC – a new tool to debug clock domain crossing violations at the gate level netlist of digital designs. Complementing Real Intent’s Verix CDC solution for RTL sign-off, Verix PhyCDC maintains Real Intent’s product leadership in delivering the industry’s fastest-performance, highest-capacity and most precise CDC solutions in the market.

Verix PhyCDC targets the post-synthesis stage of SoC design at the gate level, where physical implementation tools can introduce changes that might cause unintended signal noise and functional failures. It leverages the results from RTL CDC to identify incremental CDC paths and constraints to optimize the CDC analysis at gate level while providing maximum coverage. Verix PhyCDC addresses malfunctions such as glitching on control signals, clock networks and data signal paths; and incorrect optimization of clock synchronizer logic. Verix PhyCDC also includes iDebug, Real Intent’s state-of-the-art design intent debugger and data manager.

Ramesh Dewangan, vice president of product strategy at Real Intent, said, “CDC verification traditionally has been targeted at RTL sign-off before physical implementation begins. The CDC problems introduced during synthesis along with the addition of test logic and low-power optimizations are risk factors for SoC designs at the physical implementation stage. With the largest capacity of any tool in the industry, Verix PhyCDC provides verification without sacrificing precision. Its easy setup by reusing constraints from RTL, parallel processing to significantly reduce runtime, and incremental sign-off through diff analysis gives implementation teams the fastest pathway for RTL + Netlist CDC sign-off. They can be confident the designs they are handing off to tape-out are free of CDC bugs.”

Real Intent will give presentations on Verix PhyCDC in Booth #1431 during the Design Automation Conference in San Francisco, June 25 to 27. Appointment times can be arranged here.

For more information on Verix PhyCDC, a white paper and data sheet are available.

Availability
Verix PhyCDC is available now. Pricing depends on product configuration. For more information, please email info@realintent.com

About Real Intent
Real Intent is the industry leader in static sign-off of digital designs. Companies worldwide rely on Real Intent’s EDA software to accelerate early functional verification and sign-off at RTL as well as gate-level. Its intent-driven static technology powers solutions for clock and reset domain crossing analysis (CDC, RDC), and cleaned RTL code and X-pessimism correction, to ensure design success for SoCs and FPGAs. Real Intent products lead the market in performance, capacity and accuracy, and provide a faster time to tape out. Please visit www.realintent.com for more information.

Leave a Reply

featured blogs
Jul 20, 2024
If you are looking for great technology-related reads, here are some offerings that I cannot recommend highly enough....

featured video

Larsen & Toubro Builds Data Centers with Effective Cooling Using Cadence Reality DC Design

Sponsored by Cadence Design Systems

Larsen & Toubro built the world’s largest FIFA stadium in Qatar, the world’s tallest statue, and one of the world’s most sophisticated cricket stadiums. Their latest business venture? Designing data centers. Since IT equipment in data centers generates a lot of heat, it’s important to have an efficient and effective cooling system. Learn why, Larsen & Toubro use Cadence Reality DC Design Software for simulation and analysis of the cooling system.

Click here for more information about Cadence Multiphysics System Analysis

featured chalk talk

PolarFire® SoC FPGAs: Integrate Linux® in Your Edge Nodes
Sponsored by Mouser Electronics and Microchip
In this episode of Chalk Talk, Amelia Dalton and Diptesh Nandi from Microchip examine the benefits of PolarFire SoC FPGAs for edge computing applications. They explore how the RISC-V-based Architecture, asymmetrical multi-processing, and Linux-based reference solutions make these SoC FPGAs a game changer for edge computing applications.
Feb 6, 2024
23,058 views