industry news
Subscribe Now

Real Intent Launches Verix PhyCDC – the Next Innovation in SoC Design Sign-off

New Analysis Tool Brings Giga-Scale Capacity and Fastest Analysis to Gate-Level Designs

SUNNYVALE, CA– (June 18, 2018) – Real Intent, Inc., a leading provider of SoC and FPGA sign-off verification solutions, today announced Verix PhyCDC – a new tool to debug clock domain crossing violations at the gate level netlist of digital designs. Complementing Real Intent’s Verix CDC solution for RTL sign-off, Verix PhyCDC maintains Real Intent’s product leadership in delivering the industry’s fastest-performance, highest-capacity and most precise CDC solutions in the market.

Verix PhyCDC targets the post-synthesis stage of SoC design at the gate level, where physical implementation tools can introduce changes that might cause unintended signal noise and functional failures. It leverages the results from RTL CDC to identify incremental CDC paths and constraints to optimize the CDC analysis at gate level while providing maximum coverage. Verix PhyCDC addresses malfunctions such as glitching on control signals, clock networks and data signal paths; and incorrect optimization of clock synchronizer logic. Verix PhyCDC also includes iDebug, Real Intent’s state-of-the-art design intent debugger and data manager.

Ramesh Dewangan, vice president of product strategy at Real Intent, said, “CDC verification traditionally has been targeted at RTL sign-off before physical implementation begins. The CDC problems introduced during synthesis along with the addition of test logic and low-power optimizations are risk factors for SoC designs at the physical implementation stage. With the largest capacity of any tool in the industry, Verix PhyCDC provides verification without sacrificing precision. Its easy setup by reusing constraints from RTL, parallel processing to significantly reduce runtime, and incremental sign-off through diff analysis gives implementation teams the fastest pathway for RTL + Netlist CDC sign-off. They can be confident the designs they are handing off to tape-out are free of CDC bugs.”

Real Intent will give presentations on Verix PhyCDC in Booth #1431 during the Design Automation Conference in San Francisco, June 25 to 27. Appointment times can be arranged here.

For more information on Verix PhyCDC, a white paper and data sheet are available.

Availability
Verix PhyCDC is available now. Pricing depends on product configuration. For more information, please email info@realintent.com

About Real Intent
Real Intent is the industry leader in static sign-off of digital designs. Companies worldwide rely on Real Intent’s EDA software to accelerate early functional verification and sign-off at RTL as well as gate-level. Its intent-driven static technology powers solutions for clock and reset domain crossing analysis (CDC, RDC), and cleaned RTL code and X-pessimism correction, to ensure design success for SoCs and FPGAs. Real Intent products lead the market in performance, capacity and accuracy, and provide a faster time to tape out. Please visit www.realintent.com for more information.

Leave a Reply

featured blogs
Apr 25, 2024
Structures in Allegro X layout editors let you create reusable building blocks for your PCBs, saving you time and ensuring consistency. What are Structures? Structures are pre-defined groups of design objects, such as vias, connecting lines (clines), and shapes. You can combi...
Apr 25, 2024
See how the UCIe protocol creates multi-die chips by connecting chiplets from different vendors and nodes, and learn about the role of IP and specifications.The post Want to Mix and Match Dies in a Single Package? UCIe Can Get You There appeared first on Chip Design....
Apr 18, 2024
Are you ready for a revolution in robotic technology (as opposed to a robotic revolution, of course)?...

featured video

How MediaTek Optimizes SI Design with Cadence Optimality Explorer and Clarity 3D Solver

Sponsored by Cadence Design Systems

In the era of 5G/6G communication, signal integrity (SI) design considerations are important in high-speed interface design. MediaTek’s design process usually relies on human intuition, but with Cadence’s Optimality Intelligent System Explorer and Clarity 3D Solver, they’ve increased design productivity by 75X. The Optimality Explorer’s AI technology not only improves productivity, but also provides helpful insights and answers.

Learn how MediaTek uses Cadence tools in SI design

featured paper

Designing Robust 5G Power Amplifiers for the Real World

Sponsored by Keysight

Simulating 5G power amplifier (PA) designs at the component and system levels with authentic modulation and high-fidelity behavioral models increases predictability, lowers risk, and shrinks schedules. Simulation software enables multi-technology layout and multi-domain analysis, evaluating the impacts of 5G PA design choices while delivering accurate results in a single virtual workspace. This application note delves into how authentic modulation enhances predictability and performance in 5G millimeter-wave systems.

Download now to revolutionize your design process.

featured chalk talk

High Voltage Stackable Dual Phase Constant On Time Controllers - Microchip and Mouser
Sponsored by Mouser Electronics and Microchip
In this episode of Chalk Talk, Chris Romano from Microchip and Amelia Dalton discuss the what, where, and how of Microchip’s high voltage stackable dual phase constant on time controllers. They investigate the stacking capabilities of the MIC2132 controller, how these controllers compare with other solutions on the market, and how you can take advantage of these solutions in your next design.
May 22, 2023
38,511 views