industry news
Subscribe Now

Imec Pushes Single-Exposure Patterning Capability of 0.33NA EUVL to its Extreme Limits

Proven correlation between morphological and electrical data on 28nm pitch line/spaces increases understanding of stochastic defectivity impact on device reliability/yield

LEUVEN (Belgium), February 23, 2021 — This week, at the 2021 SPIE Advanced Lithography Conference, imec, a world-leading research and innovation hub in nanoelectronics and digital technologies, and ASML, the world’s leading manufacturer of semiconductor lithography equipment, present several papers that demonstrate the ultimate single-exposure patterning capability of today’s 0.33NA NXE:3400 extreme ultraviolet lithography (EUVL). Process optimizations have enabled the patterning of dense 28nm pitch line/spaces with an Inpria metal-oxide resist in one single exposure, relevant for high-volume manufacturing. For the first time, optical and e-beam inspections were correlated with electrical data to gain further insights in improving stochastic defectivity – i.e., both breaks and bridges. In addition, source optimizations have led to printing the smallest pitch possible with the current NXE:3400 scanner (i.e., 24nm pitch line/spaces and 28nm pitch contact holes), allowing for early material development required for high-NA EUV lithography scanners.

Extreme ultraviolet lithography has reached a critical decision point where one can move to EUV multi-patterning for printing the densest features of the next generation ICs or push further the single print capability on today’s 0.33NA full field scanners. “While multi-patterning techniques would offer more relaxed pitches, single patterning enables a tremendous cost advantage and simpler process schemes”, says Kurt Ronse, advanced patterning program director at imec. “Imec and ASML have demonstrated 28nm pitch single-exposure patterning readiness for line/spaces, which corresponds to critical back-end-of-line metal layers of a 5nm technology node. This brings the NXE:3400 scanner very close to its resolution limit for high-volume manufacturing.” The results were obtained using Inpria’s metal-oxide (MOx) resist process.

To increase learnings on stochastic patterning failures, defectivity inspection data obtained with scanning electron microscopy, broadband plasma and e-beam technologies were successfully correlated with data obtained from electrical measurements. The electrical tests were carried out on large-area ruthenium-metallized serpentine structures that allowed to measure electrical opens (and hence bridges in the resist), as well as on metallized fork-fork and tip-to-tip structures that allowed to measure electrical shorts (and hence critical breaks in the resist). Besides showing a good correlation, the complementary electrical measurements allow to capture important trends across multiple process changes that can help mitigating stochastic printing failures (papers n° 11609-26; 11611-21).

The extendibility of 0.33NA EUV lithography to pitch 28nm resulted from co-optimizing the various contributors to the patterning process, including mask templates, illumination settings, metal-oxide resist and etch processes. For example, the benefits of using bright field mask tonality and controlled lens aberrations were shown to largely improve the printability at small pitch and critical dimensions (papers n° 11609-27; 11609-29).

Besides pushing the boundaries of single-exposure EUVL for high-volume manufacturing, imec and ASML have brought the 0.33NA NXE:3400 to its extreme resolution with the aim to use it as a platform for early material development for the high-NA EUVL tools. Steven Scheer, VP advanced patterning process and materials at imec: “Imec and ASML recently also showed the tool’s capability of printing 24nm pitch line/spaces and 28nm pitch contact holes – the latter by optimizing pupil and imaging conditions and by using double line/space exposures at a combined dose of 45mJ/cm2”. “Pattern transfer could be successfully demonstrated on very thin resists that are relevant for high-NA EUV”, says Andrew Grenville, CEO of Inpria. “This will provide the imec patterning ecosystem with the opportunity to develop resist, metrology and etch processes to accelerate the introduction of the next-generation EUVL system, i.e., the high-NA EXE:5000.” Scheer added, “These developments will complement the learnings that are currently being obtained from imec’s attosecond analytical and interference lithography lab (the AttoLab), expected to offer a high-NA resist imaging capability to print features down to pitches as small as 8nm.”

About imec

Imec is a world-leading research and innovation hub in nanoelectronics and digital technologies. The combination of our widely acclaimed leadership in microchip technology and profound software and ICT expertise is what makes us unique. By leveraging our world-class infrastructure and local and global ecosystem of partners across a multitude of industries, we create groundbreaking innovation in application domains such as healthcare, smart cities and mobility, logistics and manufacturing, energy and education. 

As a trusted partner for companies, start-ups and universities we bring together more than 4,000 brilliant minds from almost 100 nationalities. Imec is headquartered in Leuven, Belgium and has distributed R&D groups at a number of Flemish universities, in the Netherlands, Taiwan, USA, and offices in China, India and Japan. In 2019, imec’s revenue (P&L) totaled 640 million euro. 

Further information on imec can be found at www.imec-int.com.

Leave a Reply

featured blogs
Mar 28, 2024
The difference between Olympic glory and missing out on the podium is often measured in mere fractions of a second, highlighting the pivotal role of timing in sports. But what's the chronometric secret to those photo finishes and record-breaking feats? In this comprehens...
Mar 26, 2024
Learn how GPU acceleration impacts digital chip design implementation, expanding beyond chip simulation to fulfill compute demands of the RTL-to-GDSII process.The post Can GPUs Accelerate Digital Design Implementation? appeared first on Chip Design....
Mar 21, 2024
The awesome thing about these machines is that you are limited only by your imagination, and I've got a GREAT imagination....

featured video

We are Altera. We are for the innovators.

Sponsored by Intel

Today we embark on an exciting journey as we transition to Altera, an Intel Company. In a world of endless opportunities and challenges, we are here to provide the flexibility needed by our ecosystem of customers and partners to pioneer and accelerate innovation. As we leap into the future, we are committed to providing easy-to-design and deploy leadership programmable solutions to innovators to unlock extraordinary possibilities for everyone on the planet.

To learn more about Altera visit: http://intel.com/altera

featured chalk talk

Current Sense Shunts
Sponsored by Mouser Electronics and Bourns
In this episode of Chalk Talk, Amelia Dalton and Scott Carson from Bourns talk about the what, where and how of current sense shunts. They explore the benefits that current sense shunts bring to battery management and EV charging systems and investigate how Bourns is encouraging innovation in this arena.
Jan 23, 2024
9,354 views