industry news
Subscribe Now

Imec and ASML Enter Next Stage of EUV Lithography Collaboration

Intensified collaboration will advance high-volume production with current EUV lithography and develop future EUV systems

LEUVEN (Belgium) & VELDHOVEN (The Netherlands), OCTOBER 22, 2018 —Today, world-leading research and innovation hub in nanoelectronics and digital technologies imec, and ASML Holding N.V. (ASML), the technology and market leader in lithographic equipment, announce the next step in their extensive collaboration. Together, they will accelerate the adoption of
EUV lithography for high-volume production, including the current latest
available equipment for EUV (0.33 Numerical Aperture, NA). Moreover,
they will explore the potential of the next-generation high-NA EUV
lithography to enable printing of even smaller nanoscale devices
advancing semiconductor scaling towards the post 3 nanometer Logic node.
To this end, they will establish a joint high-NA EUV research lab.

Imec and ASML have been conducting joint research for almost thirty
years. In 2014, they created a joint research center, the Advanced
Patterning Center, to optimize lithography technology for advanced CMOS
integration and to prepare the ecosystem to support advance patterning
requirements. Now, they bring this cooperation to the next stage with
the installation of ASML’s most advanced and high-volume production
dedicated EUV scanner (NXE:3400B) in imec’s cleanroom. Utilizing imec’s
infrastructure and advanced technology platforms, imec and ASML
researchers and partner companies can pro-actively analyze and solve
technical challenges such as defects, reliability and yield, and as such
accelerate the EUV technology’s industrialization.

With a 250W light source, ASML’s newest EUV system throughput will be
more than 125 wafers per hour, one of the industry’s most important
requirements for high-volume production. The NXE:3400B will also be
equipped with the latest alignment and leveling sensors, to enable
optimal process control at this high throughput.  This will facilitate
the overlay matching of the NXE:3400B to that of the latest immersion
scanner, NXT:2000i, that will also be installed in imec’s cleanroom in
2019. In addition, ASML and imec will expand the metrology capability
with new ASML YieldStar optical metrology and ASML-HMI Multi-electron
beam metrology equipment, allowing more accurate and faster evaluation
of nanoscale structures.

To continue on the leading edge of lithography development, a second
component of the increasing collaboration is the foundation of a joint
high-NA EUV research lab. In this lab, researchers from both
organizations will experiment with the next generation of EUV
lithography at higher NA. Systems with a higher NA project the EUV light
onto the wafer under larger angles, improving resolution, and enabling
printing of smaller features. More specifically, the new high-NA EUV
system, EXE:5000, that will be installed in the joint research lab, will
have an NA of 0.55 instead of 0.33 in current NXE:3400 EUV systems.
Already now, the first joint scientific projects to facilitate the
introduction of high-NA EUV, are ongoing. In the joint research lab,
ASML and imec will perform research on the manufacturing of the most
advanced nanoscale devices by high-NA EUV and assist the ecosystem of
equipment and material suppliers to prepare for the introduction of
high-NA EUV technology to the industry.

Luc Van den hove, President and CEO of imec: “The new EUV scanners and
ASML metrology equipment will allow our industry partners to perform
collaborative research on the most advanced and industry relevant
lithography and metrology equipment. When it comes to lithography, ASML is undoubtedly the world leader. ASML and imec have a nearly 30 year long tradition of joint research, leading to breakthrough patterning
research to advance the semiconductor industry roadmap.”

Martin van den Brink, President & Chief Technology Officer at ASML: “We
are very pleased to take this next step in our long and deep
collaboration with imec. Access to the most advanced semiconductor
lithography tools is vital for exploration and determining the paths to
future generations of semiconductor devices and applications. Imec’s
researchers and customers can be sure of the most up to date holistic
lithography technology for many years to come. The semiconductor
industry and consumers and businesses around the world will benefit from
the fruits of imec’s work over the next decade, resulting in continuing
improvements in microchip cost and performance.”

Leave a Reply

featured blogs
Apr 26, 2024
Biological-inspired developments result in LEDs that are 55% brighter, but 55% brighter than what?...

featured video

How MediaTek Optimizes SI Design with Cadence Optimality Explorer and Clarity 3D Solver

Sponsored by Cadence Design Systems

In the era of 5G/6G communication, signal integrity (SI) design considerations are important in high-speed interface design. MediaTek’s design process usually relies on human intuition, but with Cadence’s Optimality Intelligent System Explorer and Clarity 3D Solver, they’ve increased design productivity by 75X. The Optimality Explorer’s AI technology not only improves productivity, but also provides helpful insights and answers.

Learn how MediaTek uses Cadence tools in SI design

featured paper

Designing Robust 5G Power Amplifiers for the Real World

Sponsored by Keysight

Simulating 5G power amplifier (PA) designs at the component and system levels with authentic modulation and high-fidelity behavioral models increases predictability, lowers risk, and shrinks schedules. Simulation software enables multi-technology layout and multi-domain analysis, evaluating the impacts of 5G PA design choices while delivering accurate results in a single virtual workspace. This application note delves into how authentic modulation enhances predictability and performance in 5G millimeter-wave systems.

Download now to revolutionize your design process.

featured chalk talk

ROHM's 4th Generation SiC MOSFET
In this episode of Chalk Talk, Amelia Dalton and Ming Su from ROHM Semiconductor explore the benefits of the ROHM’s 4th generation of silicon carbide MOSFET. They investigate the switching performance, capacitance improvement, and ease of use of this new silicon carbide MOSFET family.
Jun 26, 2023
34,998 views