industry news
Subscribe Now

GlobalFoundries, Samsung Electronics, and TSMC Join Imec’s “Sustainable Semiconductor Technologies & Systems” (SSTS) Program

The expansion of SSTS’ partner network with three of the world's top foundries marks an important milestone in bringing together the entire IC ecosystem

LEUVEN (Belgium), MAY 16, 2023 — Imec, a world-leading research and innovation hub in nanoelectronics and digital technologies, today announced that GlobalFoundries, Samsung Electronics, and TSMC have joined imec’s Sustainable Semiconductor Technologies & Systems (SSTS) research program. Launched in 2021, the SSTS program rallies stakeholders from across the semiconductor industry – including systems companies, (equipment) suppliers, and now also three of the world’s leading semiconductor foundries – to help reduce the ecological impact of the IC value chain.  

In response to mounting concerns about climate change, tech companies around the world are accelerating the efforts to complete carbon neutrality for their supply chains and products. The semiconductor industry recognizes its pivotal role in this endeavor. Studies have found, for instance, that almost 75 percent of mobile devices’ CO2 emissions can be traced back to the underlying manufacturing process – with chip production being responsible for nearly half of that footprint. Against this backdrop, the SSTS program provides detailed information on the environmental impact of choices made during semiconductor technology’s definition phase.  

Luc Van den hove, CEO of imec: “SSTS’ success hinges on the active involvement of players from across the IC value chain. Hence, I am delighted to announce that GlobalFoundries, Samsung Electronics, and TSMC, have now entered the program. Following the earlier enrollment of renowned systems companies such as Google, Amazon, Apple, Meta and Microsoft, our new core program partner Rapidus, and equipment suppliers including Applied Materials, ASML, Edwards, Kurita, SCREEN and Tokyo Electron, we have reached an important milestone in effectively bringing together the entire semiconductor ecosystem.”  

“By benchmarking our models at GlobalFoundries, Samsung Electronics, and TSMC, we will be able to further refine and optimize the imec.netzero tool, the web application that is at SSTS’s very heart and that allows us to assess energy consumption, water/mineral usage, and greenhouse gas emissions associated with the various aspects of chip making. In the longer term, the partner program will also develop recommendations on how to improve the ecological footprint of chips by suggesting novel processes and technology optimizations,” added Lars-Åke Ragnarsson, the program director of SSTS.  

“As part of GF’s longstanding commitment to environmentally responsible manufacturing and operations, we are constantly looking for new ways to minimize our impact on the environment. This includes enhancing manufacturing emission controls, further improving energy efficiency, sourcing renewable and lower-carbon energy, and engaging through key partnerships including imec’s SSTS program,” said Stacey Barrick, head of global ESG at GF. “As the first semiconductor manufacturer to join the SSTS, we look forward to partnering with imec and others in the semiconductor ecosystem and helping develop innovative technologies that drive change across the entire supply chain in a holistic, meaningful way.”  

“Our commitment to imec’s SSTS program stems from Samsung Electronic’s firm belief that we should not solely focus on meeting the mere technical requirements of the semiconductor industry. Being one of the world’s top foundries and memory supplier, we want to lead the way in developing innovative technologies that ensure the preservation of our planet for generations to come. Teaming up with imec and the other SSTS partners allows us to translate this commitment into tangible actions,” said Dooguen Song, Executive Vice President of the Environment, Health and Safety (EHS) Center at Samsung Electronics.  

The imec.netzero tool is a software platform that combines datasets to reach a quantified, bottom-up understanding of the environmental impact of the manufacturing of semiconductor logic and memory technologies.  

About imec 

Imec is a world-leading research and innovation center in nanoelectronics and digital technologies. Imec leverages its state-of-the-art R&D infrastructure and its team of more than 5,500 employees and top researchers, for R&D in advanced semiconductor and system scaling, silicon photonics, artificial intelligence, beyond 5G communications and sensing technologies, and in application domains such as health and life sciences, mobility, industry 4.0, agrofood, smart cities, sustainable energy, education, … Imec unites world-industry leaders across the semiconductor value chain, Flanders-based and international tech, pharma, medical and ICT companies, start-ups, and academia and knowledge centers. Imec is headquartered in Leuven (Belgium), and has research sites across Belgium, in the Netherlands and the USA, and representation in 3 continents. In 2022, imec’s revenue (P&L) totaled 846 million euro.  

Further information on imec can be found at www.imec-int.com. 

Leave a Reply

featured blogs
Apr 25, 2024
Structures in Allegro X layout editors let you create reusable building blocks for your PCBs, saving you time and ensuring consistency. What are Structures? Structures are pre-defined groups of design objects, such as vias, connecting lines (clines), and shapes. You can combi...
Apr 24, 2024
Learn about maskless electron beam lithography and see how Multibeam's industry-first e-beam semiconductor lithography system leverages Synopsys software.The post Synopsys and Multibeam Accelerate Innovation with First Production-Ready E-Beam Lithography System appeared fir...
Apr 18, 2024
Are you ready for a revolution in robotic technology (as opposed to a robotic revolution, of course)?...

featured video

MaxLinear Integrates Analog & Digital Design in One Chip with Cadence 3D Solvers

Sponsored by Cadence Design Systems

MaxLinear has the unique capability of integrating analog and digital design on the same chip. Because of this, the team developed some interesting technology in the communication space. In the optical infrastructure domain, they created the first fully integrated 5nm CMOS PAM4 DSP. All their products solve critical communication and high-frequency analysis challenges.

Learn more about how MaxLinear is using Cadence’s Clarity 3D Solver and EMX Planar 3D Solver in their design process.

featured paper

Designing Robust 5G Power Amplifiers for the Real World

Sponsored by Keysight

Simulating 5G power amplifier (PA) designs at the component and system levels with authentic modulation and high-fidelity behavioral models increases predictability, lowers risk, and shrinks schedules. Simulation software enables multi-technology layout and multi-domain analysis, evaluating the impacts of 5G PA design choices while delivering accurate results in a single virtual workspace. This application note delves into how authentic modulation enhances predictability and performance in 5G millimeter-wave systems.

Download now to revolutionize your design process.

featured chalk talk

Industrial Internet of Things (IIoT)
Sponsored by Mouser Electronics and Eaton
In this episode of Chalk Talk, Amelia Dalton and Mohammad Mohiuddin from Eaton explore the components, communication protocols, and sensing solutions needed for today’s growing IIoT infrastructure. They take a closer look at how Eaton's circuit protection solutions, magnetics, capacitors and terminal blocks can help you ensure the success of your next industrial internet of things design.
Jun 14, 2023
35,808 views