industry news
Subscribe Now

Latest Release of Design Compiler Adds Technologies to Reduce Area and Accelerate Design Schedules

  • 10% reduction in area and leakage power at all process nodes
  • RTL analysis and cross-probing for faster debugging and creation of high-quality design data
  • Early congestion detection and optimization for faster design closure

The 2013.12 release of Synopsys’ Design Compiler® family, a key component of Synopsys’ Galaxy™ Implementation Platform, is now available. New innovations in this release reduce both design area and leakage power by 10 percent, while additional new capabilities accelerate design cycles by enabling faster debugging of design data and speeding design closure.

Design Compiler 2013.12 includes new optimizations that monotonically reduce design area by 10 percent on average while maintaining timing quality of results (QoR) at all process nodes. These area optimizations operate on new or legacy design netlists, with or without physical information. Utilizing these capabilities in conjunction with new congestion optimizations will allow customers to significantly reduce die area and ease design closure without impacting other QoR metrics.

New RTL Analysis capabilities include cross-probing between the RTL source and other design views such as schematic, timing reports and histograms, congestion and physical viewers. Additional RTL analysis capabilities analyze and report the number of logic levels for each timing path and RTL structures that are likely to cause routing congestion later in the flow. Users gain early visibility into potential timing and congestion issues and can create higher-quality RTL sooner.

New power optimizations reduce the use of leaky cells during RTL synthesis, resulting in 10 percent lower leakage power consumption and highly predictable leakage results. Also included is support for “golden” UPF (Unified Power Format), preserving the original power intent definition across the entire design flow as well as UPF support during design exploration. “Smaller die size and shorter design schedules continue to be key requirements for our customers designing at both established and emerging process nodes,” notes Bijan Kiani, vice president of marketing in Synopsys’ Implementation Group. “These new technologies for smaller design area, lower power consumption and advanced RTL analyses will help all our customers to become more competitive in their market segments, while strengthening Design Compiler’s position as the synthesis tool of choice for designers worldwide.”

Synopsys’ Design Compiler family maximizes productivity with its complete solution for RTL synthesis and test. Design Compiler Graphical uses advanced optimizations and shared technology with IC Compiler place-and-route to deliver best-in-class quality of results for the most challenging designs. In addition, it enables RTL designers to predict, visualize and alleviate routing congestion and to perform floorplan exploration prior to physical implementation. Design Compiler Graphical also produces physical guidance to IC Compiler that tightens timing and area correlation and speeds-up placement runtimes. Design Compiler Graphical is built upon DC Ultra™ synthesis that concurrently optimizes for timing, area, power and test and includes topographical technology to reduce costly design iterations.

DC Explorer, the newest addition to the Design Compiler Family, enables early RTL and floorplan exploration to accelerate synthesis and place-and-route. The Design Compiler family also includes: a synthesis-based test solution for the fastest, most cost-effective path to high-quality manufacturing tests and working silicon; and Formality® for equivalence checking. This best-in-class, production-proven solution is designed to achieve the industry’s fastest and most predictable RTL-to-GDSII flow.

Learn more about Design Compiler:
http://www.synopsys.com/Tools/Implementation/RTLSynthesis/Pages/default.aspx

Leave a Reply

featured blogs
Mar 28, 2024
'Move fast and break things,' a motto coined by Mark Zuckerberg, captures the ethos of Silicon Valley where creative disruption remakes the world through the invention of new technologies. From social media to autonomous cars, to generative AI, the disruptions have reverberat...
Mar 26, 2024
Learn how GPU acceleration impacts digital chip design implementation, expanding beyond chip simulation to fulfill compute demands of the RTL-to-GDSII process.The post Can GPUs Accelerate Digital Design Implementation? appeared first on Chip Design....
Mar 21, 2024
The awesome thing about these machines is that you are limited only by your imagination, and I've got a GREAT imagination....

featured video

We are Altera. We are for the innovators.

Sponsored by Intel

Today we embark on an exciting journey as we transition to Altera, an Intel Company. In a world of endless opportunities and challenges, we are here to provide the flexibility needed by our ecosystem of customers and partners to pioneer and accelerate innovation. As we leap into the future, we are committed to providing easy-to-design and deploy leadership programmable solutions to innovators to unlock extraordinary possibilities for everyone on the planet.

To learn more about Altera visit: http://intel.com/altera

featured chalk talk

Battery-free IoT devices: Enabled by Infineon’s NFC Energy-Harvesting
Sponsored by Mouser Electronics and Infineon
Energy harvesting has become more popular than ever before for a wide range of IoT devices. In this episode of Chalk Talk, Amelia Dalton chats with Stathis Zafiriadis from Infineon about the details of Infineon’s NFC energy harvesting technology and how you can get started using this technology in your next IoT design. They discuss the connectivity and sensing capabilities of Infineon’s NAC1080 and NGC1081 NFC actuation controllers and the applications that would be a great fit for these innovative solutions.
Aug 17, 2023
27,056 views