industry news
Subscribe Now

Real Intent Delivers Next Release of Meridian CDC for Clock Domain Crossing Sign-off of SoC Designs

SUNNYVALE, Calif. – Jun. 18, 2013 – Real Intent, Inc., a leading provider of EDA advanced sign-off verification solutions, today announced the Version 5.0 release of its Meridian CDC product for comprehensive clock domain crossing analysis. This new software release adds enhanced speed, analysis and SystemVerilog language support, maintaining Real Intent’s product leadership in delivering what the company believes is the industry’s fastest-performance, highest-capacity and most precise CDC solution in the market. 

Meridian CDC performs comprehensive structural and functional analysis to ensure that signals crossing asynchronous clock domains on ASIC or FPGA devices are received reliably. With a giga-scale capacity, Meridian CDC is the only solution that enables all aspects of CDC sign-off. Meridian CDC excels in speed and low-noise analysis of asynchronous clock domains in SoC designs, with an enhanced formal engine that now goes even further and faster to find hidden CDC problems. Its design language support now includes the SystemVerilog synthesizable subset. In addition, Real Intent has substantially enhanced the user experience with a new front-end interface that incorporates the latest Verdi Automated Debug System from Synopsys, and delivers improved analysis setup, debug features and ease of use.

New features of Meridian CDC Version 5.0 include:

  • A hierarchical flow that supports partitioned analysis of designs without waivers or sacrifice of top-level full-chip precision to achieve sign-off of giga-scale designs
  • A new correct-by-configuration design setup to enhance analysis and reporting clarity for clock crossings to ease the sign-off process
  • Enriched SDC design constraint support with the addition of set clock groups and naming schemes
  • “Cleaner and meaner” issue reporting for: bus handling; reset analysis, including glitches in both asynchronous and synchronous domains; and crossings that may be blocked by environment definition
  • An enhanced formal analysis engine with greater speed and coverage
  • Significant enhancements to the SystemVerilog support for interface elements
  • Verdi3 integration – the industry-leading debug platform from Synopsys (formerly SpringSoft)

Sarath Kirihennedige, senior manager of product engineering at Real Intent, said, “Our new hierarchical CDC flow supports partitioned analysis of designs without sacrificing top-level full-chip precision for giga-scale sign-off. Other legacy solutions in the marketplace try to offer a high-capacity gate solution, but sacrifice coverage and analysis accuracy to get it. Meridian CDC’s hierarchical flow avoids the compromises found with abstract-modeling and the use of waivers in other products.”

Availability

The new release of Meridian CDC is available July 1, 2013. Pricing depends on product configuration. For more information, please email info@realintent.com.

About Real Intent 

Companies worldwide rely on Real Intent’s EDA software to accelerate early functional verification and advanced sign-off of electronic designs. The company provides comprehensive CDC verification, advanced RTL analysis and sign-off solutions to eliminate complex failure modes of SoCs. Real Intent’s Meridian and Ascent product families lead the market in performance, capacity, accuracy and completeness. Please visit www.realintent.com for more information.

Acronyms 

ASIC:      Application-Specific Integrated Circuit
CDC:      Clock Domain Crossing 
EDA:      Electronic Design Automation
FPGA:    Field-Programmable Gate Array
RTL:       Register Transfer Level 
SDC:       Synopsys Design Constraints
SoC:       Systems-on-Chip

Leave a Reply

featured blogs
Apr 16, 2024
In today's semiconductor era, every minute, you always look for the opportunity to enhance your skills and learning growth and want to keep up to date with the technology. This could mean you would also like to get hold of the small concepts behind the complex chip desig...
Apr 11, 2024
See how Achronix used our physical verification tools to accelerate the SoC design and verification flow, boosting chip design productivity w/ cloud-based EDA.The post Achronix Achieves 5X Faster Physical Verification for Full SoC Within Budget with Synopsys Cloud appeared ...
Mar 30, 2024
Join me on a brief stream-of-consciousness tour to see what it's like to live inside (what I laughingly call) my mind...

featured video

MaxLinear Integrates Analog & Digital Design in One Chip with Cadence 3D Solvers

Sponsored by Cadence Design Systems

MaxLinear has the unique capability of integrating analog and digital design on the same chip. Because of this, the team developed some interesting technology in the communication space. In the optical infrastructure domain, they created the first fully integrated 5nm CMOS PAM4 DSP. All their products solve critical communication and high-frequency analysis challenges.

Learn more about how MaxLinear is using Cadence’s Clarity 3D Solver and EMX Planar 3D Solver in their design process.

featured chalk talk

Digi XBee 3 Global Cellular Solutions
Sponsored by Mouser Electronics and Digi
Adding cellular capabilities to your next design can be a complicated, time consuming process. In this episode of Chalk Talk, Amelia Dalton and Alec Jahnke from Digi chat about how Digi XBee Global Cellular Solutions can help you navigate the complexities of adding cellular connectivity to your next design. They investigate how the Digi XBee software can help you monitor and manage your connected devices and how the Digi Xbee 3 cellular ecosystem can help future proof your next design.
Nov 6, 2023
21,364 views