feature article
Subscribe Now

GlobalFoundries Chases Down a Different Semiconductor Rabbit Hole

“My dear, here we must run as fast as we can, just to stay in place. And if you wish to go anywhere you must run twice as fast as that!”
– The Red Queen Paradox from Alice in Wonderland 

GlobalFoundries (GF) is certainly a different sort of IC foundry. Instead of chasing the lithographic rabbit down a hole towards angstrom world, GF abandoned its quest for a 7nm process node back in 2018, and, instead, focused on getting more performance from and developing more capabilities for its existing process nodes. That’s certainly a road not taken by other semiconductor foundries, and it’s a refreshing change from the increasingly difficult and expensive descent into a place where semiconductor process researchers constantly attempt to ignore or at least bypass the laws of physics.

Back in 2018, GF realized that it could still meet the needs of 70% of its total available market without breaking the bank by building the even cleaner clean rooms and purchasing the fab tooling – like EUV machines – needed for single-digit nanometer lithography. Instead, the company used the money it saved by not following the crowd to fund development of many other equally useful silicon features and abilities. Some of these new features are pretty darn radical – and useful.

GF’s semiconductor foundry offerings currently encompass seven “platforms” including:

  • 28nm, 40nm, 55nm, and 130nm Planar CMOS, the workhorse process for digital applications
  • 12nm and 14nm FinFET, for high-speed digital applications
  • 22nm FDX (fully depleted silicon on insulator or FD-SOI) for low-power applications
  • 45nm, 90nm, 130nm, and 180nm RF SOI, for RF applications including 5G and automotive radar
  • 45nm and 90nm SiPh (silicon photonics), for high-speed optical interconnect in data centers and elsewhere
  • 45nm, 90nm, and 130nm SiGE (integrated silicon germanium transistors), for high-frequency, RF, and power applications
  • Wide Bandgap (currently 200nm gallium nitride and, in the future, silicon carbide) for high-power applications

 

GF’s Planar CMOS platform uses the good ol’ flatland CMOS FETs we’ve used to make ICs since the dawn of the 1970s, only they’re a lot smaller than they were back then. It’s significant that GF’s Planar CMOS offerings reach down to 28nm – significant because 28nm planar CMOS is the most cost-effective semiconductor process node to date in terms of cost per transistor. After the industry dipped below 28nm and started pursuing non-planar devices like FinFETs and GAA (gate-all-around) transistors, the cost per transistor started to rise, which put a stake in the heart of Moore’s Law. In reality and despite all of the other stuff written about it, Moore’s Law is about the economics of chipmaking.

GF offers alternatives to 28nm planar CMOS FETs for manufacturing digital ICs, such as the 12nm and 14nm FinFET and 22nm FD-SOI process nodes, but the company has also focused much of its energy and R&D dollars on finding new and interesting capabilities to add to its older planar CMOS process nodes. The company calls these additional process capabilities “modules.”

For example, GF offers three non-volatile memory (NVM) modules, which is an extremely valuable capability to have on chip, especially for embedded systems. The company offers Flash-based, embedded NVM modules for all of its planar CMOS process nodes down to and including 28nm. However, Flash memory is hard to scale below 28nm, so GF has developed an alternative NVM technology – an MRAM (magnetic RAM) module – in collaboration with MRAM vendor Everspin. The MRAM module is now available for GF’s 12nm FinFET and 22nm FDX platforms. The company also offers an RRAM (resistive RAM) NVM module for the same platforms.

GF has taken another direction in the quest for high-speed RF transistors. The lithographic rabbit hole leading to angstrom world doesn’t necessarily create good analog RF transistors, so GF has turned to RF SOI and SiGe transistors to push transistor unity-gain frequencies (fTs) towards a terahertz. These high-speed transistors are used in RF and radar applications. During the recent GlobalFoundries Technology Summit (GTS) held in May, the company announced an RF meta platform called GF Connex – which encompasses elements of the company’s RF SOI, FDX, SiGe, and FinFET platforms – to meet the varied communications needs of smart mobile and IoT devices and communications infrastructure equipment.

Perhaps one of the most ambitious and least obvious directions that GF has taken silicon is the development of modules and tools needed to build monolithic silicon photonics chips, which the company calls the SiPh platform. The company formally announced its second-generation GF Fotonix SiPh platform in March of this year. This platform allows GF to manufacture monolithic devices that combine photonic emitters and detectors, silicon optical waveguides, RF components, and high-performance CMOS logic. GF employs anisotropic etching to create precise V grooves in monolithic silicon photonics chips to simplify direct, passive optical fiber alignment and attachment.

So far, photonics use has been limited to applications that can bear the high cost of what is effectively a low-volume cottage industry, but these GF silicon photonics innovations promise to drive down costs at the system level, which should in turn drive demand and usage for photonics. Silicon photonics is potentially a game-changing technology for data centers, which are already adopting optical interconnections for high-speed links among servers at 200 Gbps and above.

I consider these GF modules to be orthogonal to lithographic shrinks, and therefore quite interesting in their own right. Further, I think that GF’s seven-platform approach dovetails nicely with the current trend towards using chiplets to build packaged devices with more capabilities than can be achieved with monolithic ICs made with the smallest possible lithography. Many chiplets built with GF’s silicon platforms will undoubtedly be combined in a package with other chiplets made by other semiconductor vendors using single-digit lithographies.

GF’s second-generation GF Fotonix platform and its GF Connex portfolio represent other, less crowded semiconductor rabbit holes in contrast to the one lithographic rabbit hole hotly pursued by other foundries.

At the recent GlobalFoundries Technology Summit (GTS), GF formalized its quest to further investigate these alternative rabbit holes, …er technologies, by announcing the formation of GF Labs, which is tasked with further exploring new materials and device architectures that will allow the company to better meet the diverse needs of its semiconductor customers. Although it was just announced, GF Labs is already engaged in research and development to extend silicon’s capabilities through RF SOI (radio frequency silicon on insulator) and SiGe and will extend the company’s initial forays into wide-bandgap semiconductors for power applications, going beyond the company’s current GaN offerings and into SiC (silicon carbide).

However, GF Labs does not intend to make this journey alone. Long before the formation of GF Labs, GF worked with startup innovators, industry consortia, material suppliers, universities, and government entities on semiconductor development projects. GF Labs will continue those collaborations and now presents a unified entry point for working with the company on this type of research.

The GF Labs announcement named several collaborative entities that have already joined the club including IMEC, the Interuniversity Microelectronics Centre in Belgium; the Fraunhofer Society for the Advancement of Applied Research, a German research organization with 75 institutes spread throughout Germany; DARPA, the Defense Advanced Research Projects Agency in the US; the Institute for Microelectronics in Singapore; and a broad network of university partnerships. Members of the GF Labs ecosystem will be able to test out their ideas through GF’s multiproject wafer program and will have access to the ecosystem’s talent pool. This is an interesting effort to extract even more benefit from silicon, the second most common element on the planet after oxygen, according to the Royal Society of Chemistry.

Gregg Bartlett – GF’s senior vice president of Technology, Engineering and Quality – announced the formation of GF Labs at GTS and then made a surprising plea for additional staffing that was quite a departure from the usual semiconductor company’s focus on materials science, chemistry, lithography, and circuit design. GF Labs has decided that it needs system designers to help the company better integrate entire systems onto silicon for GF’s customers. As a systems engineer myself, I told Bartlett during a lunch break that I was very happy to see the recognition of the role’s importance in helping to guide future research.

GF Labs’ quest to hire systems engineers is just another indication that GF is taking Lindsey Buckingham’s and Fleetwood Mac’s advice:

“You can go your own way.”

Very good advice, whether you’re in the global semiconductor foundry arena like GF, or any other competitive market.

One thought on “GlobalFoundries Chases Down a Different Semiconductor Rabbit Hole”

Leave a Reply

featured blogs
Jul 20, 2024
If you are looking for great technology-related reads, here are some offerings that I cannot recommend highly enough....

featured video

How NV5, NVIDIA, and Cadence Collaboration Optimizes Data Center Efficiency, Performance, and Reliability

Sponsored by Cadence Design Systems

Deploying data centers with AI high-density workloads and ensuring they are capable for anticipated power trends requires insight. Creating a digital twin using the Cadence Reality Digital Twin Platform helped plan the deployment of current workloads and future-proof the investment. Learn about the collaboration between NV5, NVIDIA, and Cadence to optimize data center efficiency, performance, and reliability. 

Click here for more information about Cadence Data Center Solutions

featured chalk talk

Industrial Drives and Pumps -- onsemi and Mouser Electronics
Sponsored by Mouser Electronics and onsemi
In this episode of Chalk Talk, Amelia Dalton and Bob Card and Hunter Freberg from onsemi discuss the benefits that variable frequency drive, semiconductor optimization, and power switch innovation can bring to industrial motor drive applications. They also examine how our choice of isolation solutions and power packages can make a big difference for these kinds of applications and how onsemi’s robust portfolio of intelligent power modules, current sensing solutions and gate drivers are a game changer when it comes to industrial motor drive applications.
Mar 25, 2024
17,205 views