feature article
Subscribe Now

A Brief and Personal History of EDA, Part 5: The Acquisition Era

EDA’s acquisition era arrived close on the heels of the EDA era. SDA merged with ECAD to form Cadence in 1987, and the new company’s first acquisition was in 1989. The company has made nearly 40 acquisitions to date. Synopsys incorporated in 1986, and its first acquisition was in 1990. The company has made more than 100 acquisitions to date. Mentor Graphics metamorphosed from a dying CAE company into a vibrant EDA company around 1993, and its first post-metamorphosis acquisition was in 1997. The company made at least 15 acquisitions before being acquired itself in 2017 by Siemens. All three of these leading EDA companies entered the acquisition derby in an attempt to outgrow the other two competitors in the EDA arena – growth being measured in total revenue.

The acquisitiveness of these three big EDA companies manifested a microcosmic EDA industry that produced little EDA startups designed for the chief purpose of being acquired. The late Jim Hogan started his EDA journey at Cadence more than 30 years ago. He was both a consultant whose advice was widely sought by the EDA community and a developer of EDA startups, groomed to be acquired by one of the big three EDA companies, first through Cadence’s Telos Venture Partners and later through his own Vista Ventures, founded with his friend Scott Becker. Hogan would find some bright, entrepreneurial engineers with an idea, fund or get funding for them, and then harvest his investment sometime later by finding a buyer for the company. I had the privilege of being one of Jim Hogan’s many friends. Hogan passed away in 2021.

Below is a list of the acquisitions made by the big three EDA companies, listed by date, by the acquired company’s name, and by the purchased technology. The diversity of the tools and technologies offered by these EDA startup companies boggles the mind.

Cadence Acquisitions

2024 Invecas Inc – Design engineering, embedded software, and system-level solutions provider

2023 Intrinsix Corporation – Semiconductor design services provider

2023 Rambus – SerDes and memory interface PHY IP

2022 OpenEye Scientific – Computational molecular modeling and simulation software

2022 Future Facilities – Computational fluid dynamics (CFD) for electronics cooling and energy performance optimization

2021 Pointwise – CFD mesh generation

2021 NUMECA – CFD, mesh generation, multi-physics simulation

2020 InspectAR Augmented Interfaces – Augmented reality design software

2020 Integrand Software – Analysis and extraction software for large ICs and 3D packages

2019 AWR Corporation – Wireless RF application design software

2017 Nusemi – High-speed serializer/deserializer (SerDes) communications IP

2016 Rocketick Technologies – Multi-core parallel simulator

2014 Jasper Design Automation – Formal analysis and verification

2014 Forte Design Systems – High-level synthesis (HLS)

2013 Evatronix – Semiconductor IP

2013 Tensilica – Configurable processor IP, dataplane processing IP

2013 Cosmic Circuits – Analog and mixed-signal IP for mobile devices

2012 Sigrity – Signal, power, and thermal integrity analysis, IC package design

2011 Azuro – Clock concurrent optimization

2011 Altos Design Automation – Foundational IP characterization, standard-cell libraries

2010 Denali Software – Memory models, design IP, verification IP

2008 Chip Estimate – IP portal, IP reuse management

2007 Clear Shape – Design for manufacturing (DFM)

2007 Invarium – Lithography modeling and pattern synthesis

2006 Praesagus – Manufacturing variation prediction

2005 Verisity – Verification automation, hardware acceleration

2004 Neolinear – Analog and mixed-signal IC layout, circuit sizing

2003 Verplex – Formal verification, equivalence checkers

2003 K2 Technologies – Mask Layout Preparation

2003 Celestry Design – Dense modeling, full-chip circuit simulation

2002 IBM’s DFT tools & group – Design-for-test (DFT) software

1999 OrCAD Systems – PCB and FPGA design

1998 Quickturn Design Systems – Emulation hardware

1998 Bell Labs Design Automation – Simulation and verification software

1997 Cooper & Chyan Technology + UniCAD – Placement and routing software, PCB Design

1993 Comdisco Systems – Digital signal processing and communications design

1991 Valid Logic – Gate-level design software

1990 Automated Systems, Inc – PCB Design Automation

1989 Gateway Design Automation – Simulation software

Synopsys Acquisitions

Synopsys has acquired more companies than either Cadence or Mentor. The company has categorized its acquisitions and considers some of the acquisitions to be strategic. The strategic acquisitions appear below in italics.

Software Security & Quality

2022 WhiteHat Security – Dynamic application security testing (DAST)

2021 Code Dx – Application software security risk management

2020 Tinfoil Security – DAST and application programming interface (API) testing

2017 Black Duck Software – Solutions for securing and managing open-source software

2017 Forcheck – Static code analysis

2016 Codiscope – Code security tools

2016 Cigital – Security managed and professional services software

2015 Goanna Software – Static source code analysis tools

2015 Protecode – Open-source software (OSS) license and security management

2015 Seeker – Software security and interactive application security testing (IAST)

2015 Codenomicon – Software security

2014 Kalistick – Cloud-based software QA

2014 Coverity – Quality, testing and security tools

Verification and Prototyping

2023 Imperas – Processor simulation models and modeling

2023 PikeTec – Automotive software testing and verification

2020 Terrain Technologies – SystemVerilog for IC design and verification

2019 DINI Group – FPGA-based boards for emulation and product development

2019 QTronic GmbH – Simulation, test tools, and services for automotive software

2016 WinterLogic – Fault simulation

2015 Atrenta – Static and formal verification

2012 SpringSoft – IC design software

2012 EVE – FPGA-based emulation platforms

2012 ExpertIO – Verification IP (VIP)

2011 nSys – VIP

2010 ZeroSoft – Logic Verification

2010 VaST Systems – Virtual prototyping

2010 Nusym – Functional verification

2010 CoWare – Electronic systems design

2008 Synplicity – FPGA and IC design, rapid prototyping

2008 CHIPit – End-to-end verification

2007 ArchPro – Power management for IC design

2006 Virtio – Virtual prototyping

2003 Qualis VIP – Verification IP

2002 Co-Design Automation – SOC verification

2001 C Level Design – Simulation accelerator technology

2000 Leda SA – AMS IP

2000 VirSim – HDL debugger and analyzer

1999 Covermeter – Verilog code coverage tool

1999 Apteq – Verilog analog simulation tools

1998 Systems Science – Simulation and test tools

1998 Radiant Design Tools – Simulation optimization tools

1997 Viewlogic – IC design and simulation tools

1995 Arkos – Logic emulation

1994 Logic Modeling – Software models and hardware modelling systems

1994 CADIS – DSP design tools

1994 Arcad – VHDL models for telecommunications

1993 ExperTest – Fault simulation

1990 Zycad – Gate-level simulation

Silicon IP

2020 INVECAS IP – Logic, embedded memory, general I/O, analog, and interface IP

2019 eSilicon IP – Memory IP

2018 Silicon and Beyond – High-speed SerDes IP

2018 Kilopass Technology – Non-volatile memory IP

2017 Sidense Corporation – Non-volatile memory IP

2015 Bluetooth Smart IP from Silicon Vision – Wireless IP

2015 Elliptic – Security IP

2014 Target Compiler – Processor IP and tools

2012 SerDes IP from MoSys – SerDes IP

2012 Inventure – Interface IP

2010 Virage Logic – Interface and analog IP

2009 MIPS Analog – Analog IP

2007 MOSAID SIP – DDR memory and memory PHY IP

2005 TriCN – I/O and SerDes IP

2004 LEDA Design – Digital and mixed-signal IP

2004 Cascade – PCIe IP

2004 Accelerant – High-speed SerDes IP

2004 Progressant – Low-power transistor IP

2002 inSilicon – USB IP

1995 Silicon Architects – Structured ASIC design IP

1993 Compiled Designs – VHDL modeling and simulation tools

Silicon Engineering

2021 IC and Flat Panel Display Solutions from BISTel – Yield management/prediction software

2020 Light Tec – Optical scattering measurements and measurement equipment

2018 PhoeniX Software – Photonic IC design automation

2017 Quantumwise – Materials modeling for 5nm processes and below

2016 Gold Standard Simulations – TCAD and EDA simulation software

2016 Simpleware – 3D scan conversion software

2014 Brandenburg Gmbh – Optics design, optimization, and simulation software

2012 RSoft Design Group – Photonics design and simulation software

2012 Mask Synthesis from Luminescent Technologies – Inverse lithography technology for photomask generation

2010 Optical Research Associates – Optical design software

2006 SIGMA-C – Optical, e-beam, and next- generation lithography (NGL) simulation software

2005 HPL Technologies – Design-to-silicon design flow

2004 ISE – DFM software

2003 Numerical Technologies – Mask data preparation software

Chip Design

2023 Maxeda – Intelligent floor-planning software

2023 Silicon Frontline – Post-layout verification software

2022 FishTail Design Automation – RTL-to-signoff constraints generation and verification

2021 Concertio – AI-powered performance optimization software

2020 Moortec – Process, voltage, and temperature (PVT) sensors for on-chip monitoring

2020 Dorado DA – Engineering change order (ECO) software

2020 Qualtera – Big data analytics for semiconductor test and manufacturing

2012 Ciranova – Transistor-level layout for advanced process nodes

2012 Magma – IC design software

2011 Extreme DA – Performance, power consumption and manufacturing yield improvement

2010 Synfora – C/C++ high-level synthesis tools

2009 TeraRoute – Gridless, shape-based, autorouter for sub-100-nanometer IC designs

2009 Gemini – Complex analog and mixed-signal (AMS) verification

2007 Sandwork Design – AMS verification tools

2005 Nassda – Full-chip circuit verification software

2004 Monterey Design – RTL-to-GDSII and virtual prototyping tools

2004 iRoC SA – Memory built-in-self-test (BIST) technology

2004 Analog Design Automation – Automated AMS circuit optimization

2003 InnoLogic Systems – Memory and full-custom equivalence checking technology

2002 Avant! – Advanced physical IC design

2000 The Silicon Group – IC design services

1999 Gambit – Gate-array layout system

1999 Stanza – Deep submicron IC design tools

1998 Everest Design Automation – Shape-based, top-level routing technology

1997 EPIC Design Technology – Timing, power, and reliability simulation and analysis tools

1997 Advanced Test Technology – Automatic test pattern generation (ATPG)

Just before I wrote this article, Synopsys announced that it planned to acquire Ansys, a design automation company that specializes in fluid-dynamics, combustion, and multi-physics simulation tools for a much broader list of industries than just EDA. For EDA applications, Ansys offers RedHawk-SC, a power integrity tool that’s popular with IC designers. Ansys added RedHawk to its software portfolio when it acquired Apache Design Solutions in 2011.

Mentor Graphics Acquisitions

2015 Calypto Design Systems – HLS tools

2015 Tanner EDA – AMS and MEMS integrated circuits

2014 Berkeley Design Automation – AMS circuit verification

2014 Nimbic – Electromagnetic simulation software

2010 CodeSourcery – GNU-based software development tools

2010 Valor Computerized Systems – PCB systems manufacturing

2009 LogicVision – Testing for IC manufacturing

2008 Flomerics – CFD

2007 Sierra Design Automation – Place and route (P&R) software

2004 Project Technology – Executable UML

2002 IKOS Systems – Hardware emulation

2002 Innoveda – PCB and wire harness design

2002 Accelerated Technology – RTOS and embedded software development

1999 VeriBest – PCB design

1995 Microtec Research – Real-time operating system (RTOS), software development

Some of the acquisition histories listed above intersect with my own career. I worked for several of the companies listed above including Cadence, Denali Software, and Tensilica. In addition, the VeriBest PCB design software acquired by Mentor Graphics in 1999 incorporates the remnants of the PCB tools that were originally developed by Cadnetix, where I worked as a design engineer from 1982 to 1985.

In compiling these acquisition lists, I was struck by the tremendous collective effort people have put into the EDA tools we use today. It gives one pause. For some of the acquisitions listed above, the engineers working for the acquired companies found new positions with one of the big three EDA companies. Other acquisitions were technology acquisitions, which means the people at the acquired company hit the streets to look for new employment. The above lists therefore paint an accurate picture of the roiling, dynamic nature of the EDA industry, akin to the popular novel and TV series “Game of Thrones,” but with slightly less bloodletting.

References

Bernard Murphy, “RIP Jim Hogan – An Industry Icon,” SemiWiki.com, March 21, 2021

2 thoughts on “A Brief and Personal History of EDA, Part 5: The Acquisition Era”

  1. A subset of this EDA acquisition game has been the development of FPGA tools. NeoCAD did the tools for AT&T’s clones of Xilinx FPGAs, doing it so well it embarrassed Xilinx, so they acquired them. I still have the NeoCAD coffee cup.

Leave a Reply

featured blogs
May 2, 2024
I'm envisioning what one of these pieces would look like on the wall of my office. It would look awesome!...
Apr 30, 2024
Analog IC design engineers need breakthrough technologies & chip design tools to solve modern challenges; learn more from our analog design panel at SNUG 2024.The post Why Analog Design Challenges Need Breakthrough Technologies appeared first on Chip Design....

featured video

Introducing Altera® Agilex 5 FPGAs and SoCs

Sponsored by Intel

Learn about the Altera Agilex 5 FPGA Family for tomorrow’s edge intelligent applications.

To learn more about Agilex 5 visit: Agilex™ 5 FPGA and SoC FPGA Product Overview

featured paper

Designing Robust 5G Power Amplifiers for the Real World

Sponsored by Keysight

Simulating 5G power amplifier (PA) designs at the component and system levels with authentic modulation and high-fidelity behavioral models increases predictability, lowers risk, and shrinks schedules. Simulation software enables multi-technology layout and multi-domain analysis, evaluating the impacts of 5G PA design choices while delivering accurate results in a single virtual workspace. This application note delves into how authentic modulation enhances predictability and performance in 5G millimeter-wave systems.

Download now to revolutionize your design process.

featured chalk talk

GaN FETs: D-Mode Vs E-mode
Sponsored by Mouser Electronics and Nexperia
The use of gallium nitride can offer higher power efficiency, increased power density and can reduce the overall size and weight of many industrial, automotive, and data center applications. In this episode of Chalk Talk, Amelia Dalton and Giuliano Cassataro from Nexperia investigate the benefits of Gan FETs, the difference between D-Mode and E-mode GaN FET technology and how you can utilize GaN FETs in your next design.
Mar 25, 2024
5,549 views