feature article
Subscribe Now

Achronix (name)Drops the Big One

Intel Making 22nm FPGAs

Until this week, the highest-density FPGAs announced in the world – fabricated on the smallest process geometry – were made by exactly the company you’d expect.

That’s right, TSMC.

Oh, you thought I was going to say “Xilinx and Altera.” Fair enough.  Certainly FPGAs are created by a collaboration between the FPGA vendor – a “fabless” semiconductor company like Xilinx or Altera, and a semiconductor fab – like TSMC or UMC.  The semiconductor fab provides the process technology and the manufacturing, and the FPGA company provides the architecture – the design and layout of the chip. 

This week, Achronix and Intel announced a partnership to manufacture what should be the largest, fastest, and smallest-geometry FPGAs on the market – a 22nm FPGA with densities up to 2.5 million LUTs running at up to 1.5GHz.  Of course, like their counterparts at the traditional FPGA companies, “on the market” is quite a while from the first announcement.  In this case, we won’t be able to get our hands on them for a year or more.

Still, the ramifications of this announcement are potentially huge, so we should take a look at what we know and what it could mean. 

Intel is believed to have some of the most advanced semiconductor processes in the world.  We say “believed,” because the details are cloaked in secrecy – or at least a lot more secrecy than a “pure play” merchant foundry like TSMC, whose process technology must be semi-publicly understood so that their customers can succeed with their chip design. 

We could break the world of semiconductor fabs down into three rough buckets.  “Pure play” merchant foundries (like TSMC, UMC, and Chartered) do almost exclusively contract manufacturing for “fabless” companies.  They do not sell chips of their own design.  “Integrated Device Manufacturers (IDMs)” like Texas Instruments, IBM, and Samsung do both – manufacturing their own designs and also acting as a merchant foundry for products that do not compete with their own. 

Intel has historically manufactured only their own designs and hasn’t allowed outside companies access to their latest-generation process technology… until now.  So, the partnership with Achronix is potentially giant news for the FPGA industry, and it could signal a fundamental shift in thinking at Intel. 

FPGAs are now understood to be excellent “process provers” and, as a result, are among the first types of devices to be fabricated on new semiconductor processes at foundries like TSMC.  Because the FPGA has a very regular structure, it is a better vehicle to evaluate a new process than, say, a big, complex processor core.  By running FPGAs at the beginning of their 22nm process, Intel stands to get some good data that could inform their development of their own standard products on the process.  Also, by sticking their toe into the FPGA pond (this run will likely account for “far less than 1%” of Intel’s output on this process), Intel can participate in one of the fastest-growth, highest-margin segments of the semiconductor business – without having to launch their own entry. 

Since we’re just hearing about the Achronix partnership at this point, there is no sign that Intel is making a big commitment to go into merchant semiconductor manufacturing.  At the same time, if Intel wanted to go hard-core into the programmable logic space, one wonders why they wouldn’t just do it themselves, or buy one of the major players like Xilinx or Altera.

Our best guess is that Intel doesn’t know whether their advanced process technology is enough of a compelling commercial advantage in the FPGA market.  They are likely aware that selling programmable logic has a lot in common with selling complex processors – there is an enormous burden of support required in order to make customers successful with the “programmability” aspect.  If they wanted to go into FPGA themselves, even with Intel’s immense resources, they couldn’t whip up a tool chain, IP ecosystem, and FAE force overnight. 

This is not the big “I”’s first foray into FPGA, either.  Recently, we learned that their upcoming “Stellarton” embedded processor will pair an Intel Atom processor with an Altera FPGA in a single package – opening up the door for potential hardware acceleration of algorithms, flexibility in built-in peripheral configuration, and integration of glue logic in an Intel device.  In the not-too-distant future, you’ll be able to buy a single “device” that combines a high-speed processor with FPGA fabric – from Intel (with Stellarton), from Xilinx (with their previously-announced ARM-based processing subsystem on their upcoming 28nm FPGAs), from Altera with one of their many upcoming embedded-processor-plus-FPGA options, from Actel-oops-sorry-Microsemi with one of their many Fusion and Pro-ASIC devices with built-in processors/microcontrollers, and even from QuickLogic with their newly-announced Arcticlink II CX devices. 

Anybody see a trend here?

Apparently, in the near future, system-on-chip that includes a processing subsystem and FPGA fabric will be the go-to architecture for just about everything, combining the double-whammy programmability of software and hardware into an amazingly flexible and powerful device.  Perhaps it is this alley that interests Intel – as it would be easy to conceive a high-performance Intel processor device with a load of on-chip Achronix FPGA fabric, giving us a sort-of reconfigurable supercomputer on a chip.  In fact, the possibilities of including a load of FPGA fabric on Intel processor chips are almost endless.  Of course, if I were Intel and I wanted to do that, I’d want to try fabricating just the FPGA part by itself first.  Hmmm…

The Achronix partnership will give Intel the chance to fabricate some FPGAs and prove that they can get competitive parts out the door, while Achronix takes the burden of design, sales, tools, and support.  One would presume that, if the product looks like a success, Intel might consider an acquisition of Achronix.  At this point, however, they say they have made no investment in the company.  Achronix CEO John Lofton Holt characterizes the relationship as “Partnership with a capital P.” 

For Achronix, the Intel deal involved a major change of plans.  The company was set to announce a 28nm FPGA family fabricated by TSMC.  Now, the 28nm plans are scrapped and the company is focused on the 22nm Intel project.  The company is still in startup mode, living primarily off of the approximately $90 million in venture funding the company has banked. Holt says they have already won “dozens” of sockets with their current 65nm FPGAs, and they have met their (admittedly conservative) goals of “single-digit millions” in revenues.  The question now is, with a significant calendar gap before they can start selling the new 22nm devices, will the company’s funding hold out long enough for the new family to win sockets, get through the system design phase in their customers, and ramp up to high-volume, revenue-producing orders?  The clock is already ticking.

The traditional Achilles’ Heel of new FPGA families is the tool chain.  When FPGA Journal has surveyed our readers, we have consistently found that the biggest factor in FPGA vendor selection is “previous experience with tools.”   Previous tool experience ranked above part performance, cost, and power consumption in the decision process.  Achronix has done its part to minimize the tool loyalty effect.  Despite the fact that their novel asynchronous architecture is significantly different at the lowest levels of the design, they have provided a tool chain that looks and feels like plain-old FPGA design.  We still design primarily with register-transfer level HDL, we still use standard third-party synthesis and simulation tools like those from Synopsys and Mentor Graphics, and so forth.  The magic of the asynchronous architecture is hidden in the place-and-route tools developed by Achronix themselves.

There is a lot to like about the promised FPGA family.  With Intel as the sole supplier (including fabrication and packaging), the supply chain should be clean and reliable.  The Achronix picoPIPE architecture (which we talked about back in 2008) is screaming-fast (hitting up to 1.5 GHz even in the currently-available 65nm implementation).  The upcoming Speedster22i – based on Intel high-k metal gate 22nm process technology – will retain the 1.5 GHz performance at much higher densities (up to 2.5 million LUTs).   As a bonus, for customers who care about such things, it will be the only high-end FPGA where the entire supply chain is in the USA.  The new family boasts both 28 Gbps and 12.5 Gbps SerDes transceivers (for the obvious target market in the bandwidth-busting networking segment), DDR2/DDR3 Phy and controllers running up to 2133Mpbs, 10G/40G/100G Ethernet MAC, PCIe Gen I, II, III, Interlaken, and a DMA engine.  The company claims that all this can be yours at a price about 40% lower than comparable FPGA offerings, and with 50% less power.  While the time we can all validate those claims is still a bit in the future, it’s exciting to think about already.

Achronix says they’ll be sampling Speedster22i in Q4 2011, which puts them somewhere between a few months and a year behind current 28nm schedules from Xilinx and Altera.  It’s very unlikely that the two big FPGA players will sit idle waiting to see how this assault on their high-end flagships turns out, so we should be in for some major excitement.

Leave a Reply

featured blogs
Apr 19, 2024
Data type conversion is a crucial aspect of programming that helps you handle data across different data types seamlessly. The SKILL language supports several data types, including integer and floating-point numbers, character strings, arrays, and a highly flexible linked lis...
Apr 18, 2024
Are you ready for a revolution in robotic technology (as opposed to a robotic revolution, of course)?...
Apr 18, 2024
See how Cisco accelerates library characterization and chip design with our cloud EDA tools, scaling access to SoC validation solutions and compute services.The post Cisco Accelerates Project Schedule by 66% Using Synopsys Cloud appeared first on Chip Design....

featured video

How MediaTek Optimizes SI Design with Cadence Optimality Explorer and Clarity 3D Solver

Sponsored by Cadence Design Systems

In the era of 5G/6G communication, signal integrity (SI) design considerations are important in high-speed interface design. MediaTek’s design process usually relies on human intuition, but with Cadence’s Optimality Intelligent System Explorer and Clarity 3D Solver, they’ve increased design productivity by 75X. The Optimality Explorer’s AI technology not only improves productivity, but also provides helpful insights and answers.

Learn how MediaTek uses Cadence tools in SI design

featured chalk talk

Trends and Solutions for Next Generation Energy Storage Systems
Sponsored by Mouser Electronics and onsemi
Increased installations of DC ultra fast chargers, the rise of distributed grid systems, and a wider adoption of residential solar installations are making robust energy storage systems more important than ever before. In this episode of Chalk Talk, Amelia Dalton, Hunter Freberg and Prasad Paruchuri from onsemi examine trends in EV chargers, solar, and energy storage systems, the role that battery storage integration plays in energy storage systems, and how onsemi is promoting innovation in the world of energy storage systems.
Jan 29, 2024
11,523 views