feature article
Subscribe Now

Synthesis Flows Back to the Sea

Synopsys Buys Synplicity

The sun’s rays beat down on the sea, vaporizing seawater and lifting molecules into the sky.  Adiabatic processes work their magic, and soon tiny bits of moisture are carried eastward for their date with landfall.  It isn’t clear how long it will take them to complete their round-trip journey back to the ocean, or what they’ll encounter and accomplish along the way.

Graduate student Edward McCluskey sits in his lab at MIT documenting a logic minimization procedure that will give life to the idea of optimizing logic designs in software.  It isn’t clear how long it will take for these ideas to morph into the logic synthesis technology that is one of the primary enablers of electronic design in the 21st century.  McCluskey has no concept of the long litany of both successful and failed software companies that will be spawned and merged along the path of realizing his vision.

A teenage Ken McElvain stands in his backyard with his dad, blowtorch in hand, cooking TTL components off discarded circuit boards to stuff into wire-wrap sockets.  He doesn’t yet know that he’ll later master the nascent art of logic synthesis and, in 1994, will co-found Synplicity, one of the world’s most fascinating software companies selling logic synthesis code he crafted in his back room.

A teenage Aart de Geus sits near a campfire in Switzerland playing his guitar. He doesn’t yet know that he’ll later master the nascent art of logic synthesis and, in 1986, will launch Synopsys, one of the world’s largest and most successful electronic design automation (EDA) companies selling synthesis software to an eager IC engineering community.

This isn’t a story about a regular-old, run-of-the-mill corporate merger.  Every day, nameless, faceless companies swap and pool their shares in an attempt to improve their odds in the Big Game.  Synopsys and Synplicity are not those companies.  For those of us designing customized chips, they have both names and faces.  They have history obscured by the shroud of technological mystery.  They share a decades-long evolutionary bond. 

It certainly isn’t the story as Rich Smith at “The Motley Fool” covered it – describing the “upsides” as a company (Synopsys) with a “software-based focus” buying out a company (Synplicity) with “hardware-based” products.  We don’t blame the Fools for not understanding EDA.  If someone had explained to them that both companies earned their stripes selling exactly the same basic technology – logic synthesis software, they might have been even more confused as to why their next statement, the one about “taking out a competitor” was also wrong.  Synopsys and Synplicity are about as far from true competitors as one can get in EDA, with Synopsys specializing in design and verification of high-end ASICs and Synplicity historically serving the FPGA synthesis market.  We shouldn’t even need to comment about how far the Fools missed the mark with their optimistic note that Synplicity brings customers including Xilinx, LSI, Avnet, Lattice Semiconductor, and Arrow Electronics into the Synopsys fold.  These companies, most of whom are more partner than customer to Synplicity, don’t (and won’t) bring the bacon to either EDA firm’s bottom line.

Maybe Mr. Moretti at EE Times can tell us the tale.   EE Times was the bellwether book on EDA for most of its industrial life, and Gabe has been around the technology long enough to know his LUTs from his leads.  EET, however, spins us a story of expanding channels, broadening technological portfolios, and valuations net of cash acquired.  As engineers, this isn’t really the information most of us need either.

We’re not here to suffer the Fools gladly, or to call out EE Times for recently bailing on EDA.  We’re also not trying to make fun of the investment community’s complete lack of competence in understanding technology industries in general.  That would be shooting fish in a barrel.  Instead, we’re here to tell a side of the story that slipped through the cracks in some of these other venues – the story of synthesis technology making landfall, then steering its way through the complex landscape of EDA startups, mergers and acquisitions and back again to the sea, carving canyons and pooling in placid lakes along the way…

For those of us in FPGA design, Synplicity represents our hedge against both our competition and our suppliers.  By paying a small premium for synthesis technology from a competent third-party EDA company, we get better performance from our FPGA investment.  Our devices run faster, or cost less, or burn less power, or are easier to migrate to alternative technologies than if we’d stuck with the FPGA vendors’ “included with the package” synthesis options.

For those of us in ASIC design, Synopsys represents the de-facto implementation technology.  Design Compiler is the standard synthesis step in almost every ASIC team’s design flow, converting all that carefully crafted RTL code into layout-worthy netlists as our design makes its way from concept to silicon.  By investing in Synopsys tools, we are taking the necessary precautions against unwanted re-spins.

Many of us straddle both these camps, using FPGAs and Synplicity tools to prototype and verify our designs, and then using Synopsys products to bring them from prototype and early production to cost-reduced, mass production reality.  We populate our RTL with IP from Synopsys’s vast library, take advantage of Synplicity’s products to cross-compile our code for FPGA use, test our ideas in hardware on Synplicity’s HAPS FPGA-based prototyping boards, and then wander back to Synopsys land when we want to re-synthesize our design for its final ASIC implementation.

For all three of the groups above, this acquisition makes sense.  All the parts of the puzzle fit neatly together.  We can now acquire from a single company all the tools that make this process work, and the engineers at that company can improve those products by working across traditional boundaries.  Ultimately our process will probably be smoother.

What we don’t yet know is how successful Synopsys will be in catering to the audience of FPGA designers.  ASIC tools are typically sold very high in the organization, to well-funded, tool-savvy companies, and in massive multi-year deals.  Some FPGA tools are sold that way, but many more are requisitioned by working engineers and funded from single-project budgets.  The ASIC customer is concerned with being able to remix licenses for a wide gamut of design tools and deploy them into their engineering organization seamlessly without re-purchasing.  The FPGA customer wants to be sure he can click on a timing report and find the offending line quickly in his HDL code.  Meeting both of these needs at the same time is a challenge Synopsys will face in merging the cultures of their two teams.

There are certainly some sinister outcomes possible (but unlikely) with this acquisition.  Synplicity has always made it a priority to deal well with small- and medium-sized companies.  Doing so required a sales channel and support organization that were nimble, versatile, and attentive.  The lion’s share of the company’s revenue came from smaller deals with smaller companies, and those customers had to be kept happy.  Big EDA companies like Synopsys make the vast majority of their money from big-ticket, multi-year deals with multi-national corporations.  Their priority has always been to be a “supplier of choice” for the 25-30 largest systems design houses in the world.  If Synopsys doesn’t acquire some of Synplicity’s expertise in broad-base customer success along with their algorithms and engineers, a large segment of the FPGA-designing public could be left out in the cold.  In speaking with executives from both companies, however, it sounds like Synopsys is acutely aware of this situation and considers it an opportunity, rather than a challenge. 

Despite the potential product synergies, however, many of us in EDA will tend to mourn the merger.  Synplicity had a unique personality and a happy company culture.  Engineers seek employment with companies like Synplicity to get away from going to work every day at a “campus” in a large, product-diverse organization where their project has to fight for survival in a sea of random opportunities.  Working on the core product in a single-minded smaller company builds a sense of individual contribution and teamwork that’s impossible to match when yours is one of a thousand oars extending from the side of a giant ship lumbering across the ocean.  It is almost inconceivable that Synplicity’s unique engineering environment will last long into the Synopsys merger.  Certainly engineers that have previously left Synopsys seeking the “small company” experience will now find themselves back at Synopsys once again.

If you’re an expert in synthesis, your employment options have just consolidated once again.  The synthesis startup companies have now merged from their creeks, streams, and tributaries into the big-EDA rivers flowing down to the sea.   Twenty years ago, the field was rife with opportunity, and a bevy of startups competed for your talents, offering massive upside if you jumped on the right boat.  Now those startups are gone, and you’ll have to re-train if you want to re-capture that entrepreneurial wave.

In practical terms, we aren’t likely to notice much change for a while.  Synopsys and Synplicity were already working together as partners in the ASIC verification space, and Synopsys had long since vacated the FPGA market after repeated failed attempts to unseat incumbent champ Synplicity.  Synplicity’s products will continue to be sold and supported as before, and any change to that status-quo will likely be evolutionary.

Overall, Aart’s synthesis company will probably take very good care of Ken’s synthesis company and of all of us as customers.  Both founders are inspired engineers at heart, cut from similar cloth, with surprisingly similar visions and values.  Synplicity will help to future-proof Synopsys with the current explosion in FPGA use and the long-term decline in ASIC design starts, and Synopsys’s big footprint will help to assure the long-term viability and availability of Synplicity’s FPGA design tools. 

Leave a Reply

featured blogs
Mar 27, 2024
The current state of PCB design is in the middle of a trifecta; there's an evolution, a revolution, and an exodus. There are better tools and material changes, there's the addition of artificial intelligence and machine learning (AI/ML), but at the same time, people are leavi...
Mar 26, 2024
Learn how GPU acceleration impacts digital chip design implementation, expanding beyond chip simulation to fulfill compute demands of the RTL-to-GDSII process.The post Can GPUs Accelerate Digital Design Implementation? appeared first on Chip Design....
Mar 21, 2024
The awesome thing about these machines is that you are limited only by your imagination, and I've got a GREAT imagination....

featured video

We are Altera. We are for the innovators.

Sponsored by Intel

Today we embark on an exciting journey as we transition to Altera, an Intel Company. In a world of endless opportunities and challenges, we are here to provide the flexibility needed by our ecosystem of customers and partners to pioneer and accelerate innovation. As we leap into the future, we are committed to providing easy-to-design and deploy leadership programmable solutions to innovators to unlock extraordinary possibilities for everyone on the planet.

To learn more about Altera visit: http://intel.com/altera

featured chalk talk

OPTIGA™ TPM SLB 9672 and SLB 9673 RPI Evaluation Boards
Sponsored by Mouser Electronics and Infineon
Security is a critical design concern for most electronic designs today, but finding the right security solution for your next design can be a complicated and time-consuming process. In this episode of Chalk Talk, Amelia Dalton and Andreas Fuchs from Infineon investigate how Infineon’s OPTIGA trusted platform module can not only help solve your security design concerns but also speed up your design process as well.
Jun 26, 2023
31,559 views