feature article
Subscribe Now

Prime-time Processing

Are Embedded Systems on FPGA Ready?

It probably started innocently enough. A few years ago, an application note from a Xilinx engineer described the implementation of a small processor that could be used as a microcontroller in designs with complex FSMs. That little piece of soft-IP (now known as PicoBlaze) was quite handy, and it found rapid and widespread acceptance among designers. As with any good idea, though, engineers just couldn’t leave it alone. Soon there were requests for wider, faster, more robust processor cores running on FPGAs, and marketers were more than happy to oblige. While it may have been easy enough to throw a powerful processor like a PowerPC or ARM core onto an FPGA, that simple act opened up a Pandora’s Box of complexity by bringing embedded systems design into the realm of programmable logic.

Today, embedded systems on FPGA are serious business. Just ask the folks at Xilinx and Altera, who have each poured millions of dollars and years of engineering effort into developing and marketing full-blown embedded development capabilities on their programmable logic platforms. The reasoning is simple. Most market studies estimate that there are between 5x and 10x more embedded software and system designers than FPGA designers in the world, and FPGAs have compelling advantages as embedded computing platforms. If FPGA companies can tap into that market with any degree of success, they stand to grow their businesses significantly.

So, how are FPGAs doing in their quest to become the computing platform of the future? Where are we in our journey from PicoBlaze processors used as microcontrollers to full-fledged, multi-processor, hardware-accelerated, systems-on-chip using sophisticated FPGA platforms? The answer seems to be that the excitement is just beginning. Two different teams of potential customers are poised on opposite ends of the pool deck of FPGA-based embedded systems, testing their toes in the water and sending in the occasional scout to check for sharks. On one side are the usual suspects from the FPGA vendors’ point of view, the veteran FPGA designers who see these new embedded computing capabilities as an excellent capability added to a technology they’ve already adopted. On the opposite side are the newcomers, the embedded systems designers and programmers with little or no exposure to FPGA technology, who see the potential advantages of FPGAs as a new embedded computing platform.

What exactly are these advantages? According to embedded-industry veterans, our old friends flexibility and time-to-market are the keys. “Our customers are focused more than ever on getting their products out quicker, with lower cost and higher reliability,” says Warren Kurisu, Director of Product Management at Wind River. “At the same time, devices are becoming more and more connected. This connectedness adds to the complexity, and even the job of making a connected device is becoming more complex. To address this, we’ve focused on device software optimization – not just raw capability, but how we can help designers deliver their software in a more optimal fashion. On the software side, that means standards-based openness, providing a variety of options and helping to scale across geographies and products. The trends in the FPGA market are aligned with that theme. Companies want to deliver a standard hardware platform and customize it in the field by market and by geography. The need to field-upgrade and customize is driving even more designers toward FPGAs.”

With the price of FPGAs coming down and more cores being integrated with FPGA-based platforms, FPGA-based embedded systems are working their way down from the high-end applications like telecommunications and networking into the lower-cost products. Companies like Wind River and Mentor Grahpics’s Accelerated Technology, who are long time suppliers to the embedded systems community, are seeing measurable penetration of FPGAs into the embedded computing space and signs of rapid growth.

“The main FPGA companies have done a good job (with a lot of money and effort) to get visibility of processors in FPGAs that people have previously never heard of,” says Robert Day, Director of Marketing at Accelerated Technology. “While most software people haven’t made the jump yet, Xilinx’s MicroBlaze and Altera’s Nios are now on a par with regular processors, and we’re starting to see that reflected in customer design starts.”

There’s more to success than just processors, however. “From a software engineer’s perspective, there’s no problem using FPGA platforms,” Day continues. “The FPGA vendors have also spent considerable time with software tool companies like ourselves to be sure that the tools are in place to support their FPGA platforms and processors. It’s critical to the success of FPGAs that we have, not just processors and RTOS, but also middleware and peripheral support for Ethernet, firewire, etc.”

“On-par” is not where FPGA-based systems are headed, however. FPGAs offer at least two unique levels of flexibility and capability that are, as of yet, largely untapped and unexplored in commercial applications. The first of these is the ability to make even the hardware portion of a design “soft” and to develop applications that reconfigure both hardware and software on-the-fly as part of normal operation. Cutting edge applications such as software-defined radio (SDR) may eventually act as the “killer-apps” that can uniquely be implemented with FPGA-based embedded computing platforms, because of requirements that both software and hardware be reconfigured during operation.

The second unique capability of FPGA-based platforms is their potential to fluidly accelerate complex algorithm execution by both parallel processing with customized soft-processors and massively parallel datapath acceleration with custom hardware accelerators. While the compiler and design tool technologies that would make such seamless acceleration possible are not yet mature, today’s hardware already offers the possibility of unparalleled levels of computational performance.

The combination of these two factors means that FPGAs, with the proper development tool and IP support, are rapidly becoming the fastest and most flexible computing engines available. As the technology continues to mature, the biggest barriers to adoption become education and experience in the embedded design community. Industry leader Xilinx has been working hard to provide that awareness and education to the embedded design community with activities like hands-on workshops at industry events. “Out of thirty engineers in a workshop, typically only four or so will have FPGA experience,” says Tim Erjavec, Senior Manager of Embedded Processing and Connectivity Marketing at Xilinx. “The rest will be trying FPGAs for the first time. For these engineers, we’ve found that examples like our UltraController reference design are extremely helpful. We are now in the awareness and education phase with these customers. They are attracted to FPGAs by the flexibility we offer, allowing them to build exactly what they need. Off-the-shelf processors and peripherals may not have the right mix of power and performance, and particularly the ability to change. By offering the ability to change in the field, the lifecycles of products can be significantly extended.”

Xilinx agrees that FPGAs have unharnessed potential for algorithm acceleration. “Additions like our FSL interface and APU interface are gateways to the FPGA fabric for hardware acceleration,” Erjavec continues. “These provide another step beyond standard products with traditional peripherals.” By simplifying the process of integrating hardware accelerators, FPGA vendors pave the way for design teams to leverage the full potential of the technology.

Hardware acceleration and multi-processing environments create a challenge for software development, however, and tool vendors are quick to step in to fill the gap. “It’s relatively simple to have debugger and IDE support for a single processor core,” Mentor’s Day continues, “but once you have multiple cores, you have interesting challenges with interfaces, and with how software runs, communicates, and interacts.” Mentor’s Accelerated Technology group is actively developing and deploying multi-core support for popular FPGA processors with its Nucleus RTOS and IDE.

The Mentor Accelerated Technology group has been one of the most active in deploying support for FPGA-based systems. “We are seeing design starts with Xilinx PowerPC and MicroBlaze as well as Altera Nios,” says Day. “The interesting thing now is that people are just starting to pick up RTOS. In the past, they were using these cores more as simple microcontrollers, but now RTOS is becoming standard. Implementing an Ethernet stack is not a simple proposition without an RTOS.”

The adoption of FPGAs as embedded development platforms has brought on new waves of design tools as well. Mentor has adapted its Seamless ASIC HW/SW co-verification technology for FPGA use. “With an ASIC (which is where co-verification started out) the concern was to get it right,” says Ross Nelson, Seamless FPGA Project Manager at Mentor Graphics. “With an FPGA, the challenge is to get your job done efficiently and get to market quickly. Your processor is in, and you want to run and debug software, but the iteration process requires synthesis, place-and-route, and download. With today’s large FPGAs, that can be a full day per round. Co-verification allows you to stay in a simulation environment with your processor and get the visibility and controllability of a software debugger without the iteration penalty. Edit, compile, and simulate can be done six times in a day instead of just once. You can even boot an RTOS in something on the order of thirty seconds. Execution isn’t as fast as in hardware, but the iteration time is drastically improved.”

FPGA-based platforms are on the rise and penetrating a growing number of application areas. Xilinx reports customers from audio/video broadcast, avionics, communications, computing and data processing, flat panel displays, industrial control, medical instrumentation, military, networking, storage, security systems, and test and measurement are all actively deploying both their hard-core PowerPC processor and their soft-core MicroBlaze. Applications in these markets include VOIP, encryption/decryption, image processing, motor control, network storage, night vision, printers, protocol managers, radar, robotics, routers, smart cameras, ultrasound, and wireless applications. Other vendors in both the FPGA space and the embedded tools arena are seeing similar broad-based interest.

As the penetration of FPGAs for embedded computing converges with the penetration of FPGAs for low-cost, high-volume production applications, we could well see a dramatic shift in the overall market penetration of programmable logic. Already, competing with non-FPGA companies, Xilinx (the largest FPGA vendor) places in the top ten semiconductor companies with its chip architectures. With the increased competition emerging in the programmable logic market today, the whole FPGA industry is climbing that ladder. Over the coming months, watch for an increased pace of new tool and technology announcements, lower price points for system-on-chip capable FPGAs, and increased variety and diversity in offerings of processors, peripherals, and embedded platform support.

Leave a Reply

featured blogs
Apr 18, 2024
Analog Behavioral Modeling involves creating models that mimic a desired external circuit behavior at a block level rather than simply reproducing individual transistor characteristics. One of the significant benefits of using models is that they reduce the simulation time. V...
Apr 16, 2024
Learn what IR Drop is, explore the chip design tools and techniques involved in power network analysis, and see how it accelerates the IC design flow.The post Leveraging Early Power Network Analysis to Accelerate Chip Design appeared first on Chip Design....
Mar 30, 2024
Join me on a brief stream-of-consciousness tour to see what it's like to live inside (what I laughingly call) my mind...

featured video

MaxLinear Integrates Analog & Digital Design in One Chip with Cadence 3D Solvers

Sponsored by Cadence Design Systems

MaxLinear has the unique capability of integrating analog and digital design on the same chip. Because of this, the team developed some interesting technology in the communication space. In the optical infrastructure domain, they created the first fully integrated 5nm CMOS PAM4 DSP. All their products solve critical communication and high-frequency analysis challenges.

Learn more about how MaxLinear is using Cadence’s Clarity 3D Solver and EMX Planar 3D Solver in their design process.

featured chalk talk

High-Voltage Isolation for Robust and Reliable System Operation
In this episode of Chalk Talk, Amelia Dalton and Luke Trowbridge from Texas Instruments examine the benefits of isolation in high voltage systems. They also explore the benefits of TI’s integrated transformer technology and how TI’s high voltage isolations can help you streamline your design process, reduce your bill of materials, and ensure reliable and robust system operation.
Apr 27, 2023
38,502 views