editor's blog
Subscribe Now

Getting a Jump on Power Integrity

Apache announced their RTL Power Model (RPM) recently. The idea is that it lets designers understand their power and power integrity issues earlier in the design cycle. “Early,” however, is a relative term. Unlike some technologies that move such estimates to the architectural phase, this moves the capability from post-layout to RTL. That’s not to take anything away from it – they claim it gives designers a six-month jump on the problem.

The way this works involves a number of Apache tools, starting with PowerArtist. Actually, in order for PowerArtist to do its thing, one other piece has to be in place: a so-called PACE model.

A PACE model provides an estimate of a cell’s parasitics for a given technology. It’s done once, along with the development of the cell, and the PACE model becomes part of the designer’s kit.

That PACE model, along with other technology information, then feeds PowerArtist, which looks through the RTL and infers cells for the circuit. Even though no layout has been done, by knowing the cells, you can call up the PACE model and estimate the parasitics and power implications.

You then simulate your design, and PowerArtist creates the RPM. It does this by calculating an estimate of the energy consumed by each cell as it runs and dividing that by the clock period to derive a power-per-cycle metric for each cell. Those are summed together, and, from that, the tool can identify both power peaks and events with rapid current changes (high di/dt).

For each of these types of event, they define a “frame” around it – roughly 10 or so clock cycles, which includes both the lead-up to and follow-up from the event. The RPM consists of these frames, accompanied by various libraries and pieces of proprietary information that can then be delivered to the RedHawk tool.

With this information, RedHawk will build a current waveform for each clock cycle in each frame. With that waveform, you can use RedHawk to play with early power grid ideas or to start working on chip/package co-design issues, focusing only on those events known to be a challenge.

So this lets you get started dealing with potential power integrity issues long before the layout is available to give you exact results. Obviously, the analysis will need to be repeated for confirmation when the layout is ready, but, hopefully, by then, the major issues will already have been addressed.

More info in their release

Leave a Reply

featured blogs
Jul 20, 2024
If you are looking for great technology-related reads, here are some offerings that I cannot recommend highly enough....

featured video

How NV5, NVIDIA, and Cadence Collaboration Optimizes Data Center Efficiency, Performance, and Reliability

Sponsored by Cadence Design Systems

Deploying data centers with AI high-density workloads and ensuring they are capable for anticipated power trends requires insight. Creating a digital twin using the Cadence Reality Digital Twin Platform helped plan the deployment of current workloads and future-proof the investment. Learn about the collaboration between NV5, NVIDIA, and Cadence to optimize data center efficiency, performance, and reliability. 

Click here for more information about Cadence Data Center Solutions

featured chalk talk

VITA RF Product Portfolio: Enabling An OpenVPX World
Sponsored by Mouser Electronics and Amphenol
Interoperability is a very valuable aspect of military and aerospace electronic designs and is a cornerstone to VITA, OpenVPX and SOSA. In this episode of Chalk Talk, Amelia Dalton and Eddie Alexander from Amphenol SV explore Amphenol SV’s portfolio of VITA RF solutions. They also examine the role that SOSA plays in the development of military and aerospace systems and how you can utilize Amphenol SV’s VITA RF solutions in your next design.
Oct 25, 2023
33,675 views