industry news
Subscribe Now

Real Intent Adds Significant New Design Productivity Features to Ascent Lint

Santa Clara, California – February 22, 2011 – Real Intent Inc., the leader in automating the intelligence of formal techniques for design verification signoff, today announced the immediate availability of Ascent™ Lint Version 1.4. 

Ascent Lint is the industry’s fastest and most accurate solution for performing syntax and semantic lint checks for complex SoC designs. Version 1.4 includes significant new functionality to improve design productivity and the comprehensiveness of rule checking.

Ascent Lint 1.4 features a new capability to generate incremental reports, which compare violation differences between runs. Incremental reporting saves designers significant time by directing attention to new violations since the last check. Other productivity features include an enhanced capability to waive violations from the command line, design source files or graphical user interface, as well as scope-based lint analysis and reporting, which allows designers to focus on specific portions of the overall design.

Comprehensiveness is improved in version 1.4 with new rules for arithmetic data path checking, dubious logic modeling and RTL (Register Transfer level) coding policy. In addition, a new rule set has been added to analyze the integrity of netlists.

“Ascent Lint has been consistently outperforming the competition in runtime by more than 10x since its initial release,” commented Pranav Ashar, CTO of Real Intent. “In many evaluations, it has been the only tool with acceptable run time for designs upwards of 10 million gates. Our focus in Ascent Lint 1.4 has been to provide industry-leading user productivity features. To that effect, our new release adds incremental reporting, scope-based analysis, and enhanced waiver capabilities to the already compelling platform. Customer feedback has been very positive. All indications are that Ascent Lint 1.4 is far ahead in performance, capacity, usability and quality of results.”

Pricing and Availability

Ascent Lint 1.4 is available now. For pricing information, please contact info@realintent.com

About Real Intent‘s Automatic Verification Software

Real Intent’s automatic verification families include Ascent for early functional verification, Meridian™ for early Clock Domain Crossing (CDC) and Design for Test (DFT) verification, and PureTime™ for comprehensive constraints validation with glitch-aware exception verification.

About Real Intent

Real Intent is the leader in automating the intelligence of formal techniques for electronic design verification signoff. Its software dramatically improves functional verification efficiency and design quality for ASICs and FPGAs devices and is used by design and verification teams worldwide. www.realintent.com. Follow @RealIntent on Twitter.

Leave a Reply

featured blogs
Apr 26, 2024
Biological-inspired developments result in LEDs that are 55% brighter, but 55% brighter than what?...

featured video

How MediaTek Optimizes SI Design with Cadence Optimality Explorer and Clarity 3D Solver

Sponsored by Cadence Design Systems

In the era of 5G/6G communication, signal integrity (SI) design considerations are important in high-speed interface design. MediaTek’s design process usually relies on human intuition, but with Cadence’s Optimality Intelligent System Explorer and Clarity 3D Solver, they’ve increased design productivity by 75X. The Optimality Explorer’s AI technology not only improves productivity, but also provides helpful insights and answers.

Learn how MediaTek uses Cadence tools in SI design

featured paper

Designing Robust 5G Power Amplifiers for the Real World

Sponsored by Keysight

Simulating 5G power amplifier (PA) designs at the component and system levels with authentic modulation and high-fidelity behavioral models increases predictability, lowers risk, and shrinks schedules. Simulation software enables multi-technology layout and multi-domain analysis, evaluating the impacts of 5G PA design choices while delivering accurate results in a single virtual workspace. This application note delves into how authentic modulation enhances predictability and performance in 5G millimeter-wave systems.

Download now to revolutionize your design process.

featured chalk talk

Electromagnetic Compatibility (EMC) Gasket Design Considerations
Electromagnetic interference can cause a variety of costly issues and can be avoided with a robust EMI shielding solution. In this episode of Chalk Talk, Amelia Dalton chats with Sam Robinson from TE Connectivity about the role that EMC gaskets play in EMI shielding, how compression can affect EMI shielding, and how TE Connectivity can help you solve your EMI shielding needs in your next design.
Aug 30, 2023
29,424 views