industry news archive
Subscribe Now

Microchip Introduces Cost-Effective 8-bit PIC® Microcontroller Family With Intelligent Analog and Core Independent Peripherals

CHANDLER, Ariz., March 31, 2014 [NASDAQ:  MCHP] — Microchip Technology Inc., a leading provider of microcontroller, mixed-signal, analog and Flash-IP solutions, today announced from EE Live! and the Embedded Systems Conference in San Jose thePIC16(L)F170X and PIC16(L)F171X family of 8-bit microcontrollers (MCUs), which combine a rich set of intelligent analog and core independent peripherals, along with cost-effective pricing and eXtreme Low Power (XLP) technology.  Available in 14 … Read More → "Microchip Introduces Cost-Effective 8-bit PIC® Microcontroller Family With Intelligent Analog and Core Independent Peripherals"

Buck Converters from Diodes Incorporated Increase Efficiency at Light Loads

Plano, Texas – – March 31, 2014 – Implementing a light load efficiency improvement algorithm, the latest AP6550 and AP6540 synchronous DC-DC buck converters from Diodes Incorporated achieve an efficiency as high as 96% delivering continuous load currents of 4A and 5A, respectively.  Their current mode control produces a fast transient response and simple loop stabilization, ensuring dependable line and load regulation in distributed point-of-load power management applications.

By operating at higher fixed switching frequencies of 500kHz and 750kHz, these highly integrated converters are also able to help … Read More → "Buck Converters from Diodes Incorporated Increase Efficiency at Light Loads"

IAR Systems updates development tools for Freescale HCS12 with several user-friendly features

Uppsala, Sweden—March 31, 2014—IAR Systems® releases an updated version of its complete and world-leading development toolchain IAR Embedded Workbench® for HCS12. Plenty of new functionality is added to further improve ease of use and make the workflow more efficient for developers working with the 16-bit HCS12 microcontrollers from Freescale. In addition, the IAR C/C++ Compiler™ incorporated in IAR Embedded Workbench for HCS12 now uses the ISO/IEC 9899:1999 standard, known as C99, as the default C language.

Version 4.10 of IAR Embedded Workbench for … Read More → "IAR Systems updates development tools for Freescale HCS12 with several user-friendly features"

Wearable-Solutions Designer Maxwell Guider Technology Selects STMicroelectronics MEMS Sensors for Advanced Activity Trackers

Taipei, Taiwan, March 31, 2014 –STMicroelectronics (NYSE: STM), a global semiconductor leader serving customers across the spectrum of electronics applications, the world’s top MEMS (Micro-Electro-Mechanical Systems) manufacturer and the leading supplier of MEMS for consumer and mobile applications[1], announces that Maxwell Guider Technology, an innovative wearable-solutions company in Taiwan, selected ST’s LSM330 6-axis inertial module and Read More → "Wearable-Solutions Designer Maxwell Guider Technology Selects STMicroelectronics MEMS Sensors for Advanced Activity Trackers"

ITTIA DB SQL Helps Android Developers to Manage, Discover and Distribute Embedded Data

March 31, 2014 — Bellevue, WA — As our homes, our cars, and the things around us are getting smarter, manufacturers of embedded systems and smart devices need to build solutions for which constant data access and interoperability is important. ITTIA DB SQL offers developers of Android applications new capabilities to discover nearby data from other devices such as mobile tablets, notebooks, and embedded systems. New data is automatically and efficiently transferred upon discovery, so that Android applications can monitor and control nearby systems.

The shift from traditional business intelligence to … Read More → "ITTIA DB SQL Helps Android Developers to Manage, Discover and Distribute Embedded Data"

World’s First Three-Channel Interleaved CCM PFC Controller Allows Designers to Use the Smallest Passive Components

SAN JOSE, Calif., – March 31, 2014 – Designers of high power applications, such as air conditioner power supplies, need power factor correction (PFC) to improve power factor (PF) and harmonics to avoid deteriorating the power grid. To help address these challenges, Fairchild now delivers a unique combination of power devices, design expertise and manufacturing experience to its customers, allowing them to power amazing electronic products.

An industry-first, the Read More → "World’s First Three-Channel Interleaved CCM PFC Controller Allows Designers to Use the Smallest Passive Components"

SureCore’s 28nm silicon tests confirm world leading SRAM power efficiency

Sheffield, England, March 2014 – SureCore Ltd has today announced that early testing of its innovative low power SRAM design confirms its simulations that deliver in excess of 50% power savings over other SRAM technologies.

The tests prove that the patented circuit architecture developed by SureCore delivers greater than 50% power savings versus industry standard SRAMs. SureCore’s energy-efficient memory was designed through a unique combination of detailed circuit analysis, architectural improvements, and the use of advanced statistical models.

SureCore’s solution is technology independent and is applicable to Bulk CMOS, FinFET and FD-SOI … Read More → "SureCore’s 28nm silicon tests confirm world leading SRAM power efficiency"

Voltage Reference from Diodes Incorporated Delivers High Stability at Low Power

Plano, Texas, March 28, 2014 – The ZXRE330 3.3V shunt voltage reference from Diodes Incorporated has been introduced to help achieve improvements in both stability and power consumption.  Pin compatible with industry-standard parts, the ZXRE330 is offered in surface-mount SOT23 and through-hole TO92 package options.

With a typical temperature coefficient as low as 20ppm/ºC, this precision micropower device provides highly stable performance across an industrial operating temperature range of -40ºC to +85ºC.  Output voltage tolerance is tight, at ±2% at +25ºC for the ZXRE330 … Read More → "Voltage Reference from Diodes Incorporated Delivers High Stability at Low Power"

featured blogs
Apr 25, 2024
Structures in Allegro X layout editors let you create reusable building blocks for your PCBs, saving you time and ensuring consistency. What are Structures? Structures are pre-defined groups of design objects, such as vias, connecting lines (clines), and shapes. You can combi...
Apr 25, 2024
See how the UCIe protocol creates multi-die chips by connecting chiplets from different vendors and nodes, and learn about the role of IP and specifications.The post Want to Mix and Match Dies in a Single Package? UCIe Can Get You There appeared first on Chip Design....
Apr 18, 2024
Are you ready for a revolution in robotic technology (as opposed to a robotic revolution, of course)?...