feature article
Subscribe Now

New Approach to FPGA Physical Synthesis for Ease-of-Use and Wide Device Support

In the past, physical synthesis tools for FPGA design were targeted to the advanced user and provided support for only a limited subset of devices. This has largely restricted the wide adoption of the technology. As a result, the Electronic Design Automation (EDA) tools have been inadequate in supporting the performance capabilities of the FPGA fabric. This article will examine the currently available FPGA physical synthesis tools and how a new generation of physical synthesis technology can help achieve timing closure faster, easier, and for a wider range of FPGA devices.

Why care about Physical Synthesis?

The move to smaller geometries such as 65nm has changed the rules of the game for FPGAs. In the past, cell delays used to be dominant and synthesis tools met the market needs by considering just these cell delays and wire load timing models in their algorithms. However, with the advent of the smaller geometries, routing delays have become a bigger challenge for achieving timing closure. EDA vendors and some FPGA vendors scrambled to address this problem with a variety of solutions. Previous solutions included post place-and-route (P&R) physical synthesis. With this solution, a user would synthesize and P&R the design before invoking the physical synthesis tool. Physical synthesis at that stage would use the real timing numbers reported by the P&R tool, and provide a complete view of the chip with the layout.  Advanced users would then have the ability to make changes to the physical location of specific blocks, create groupings of logic to lock them to a specific site, and start a series of physical optimizations to improve timing. A high quality physical synthesis tool would be smart enough to warn the user of illegal placements and groupings. Once this was done, the netlist would be sent back to P&R to complete the design iteration. When used effectively, this could yield timing improvement on critical paths and increase the overall Quality of Results (QoR) for the design.

What is needed to improve?

There are a variety of practical problems that have surfaced since the introduction of the first generation of physical synthesis tools. A big challenge is that an expert knowledge of the chip is needed to achieve significant performance improvement, and therefore, the use of physical synthesis is predominantly used by advanced users.  Most FPGA designers have small teams and do not have specialized groups focusing on each aspect of the design flow. Thus, a push-button, easy-to-use solution is not an option, but a requirement.

There are some push-button solutions available in the market today, which address the ease-of-use concern. However, they tend to operate in the pre-routing realm by performing physical optimizations and lock down the placements with an internally-developed detailed placer. This placed netlist is then passed to the FPGA vendor’s P&R tool for routing and bitstream generation.

A major challenge for this physical synthesis approach is that only a few FPGA devices are supported. This limits the designer to just a few devices which might not fit the requirements of the current or future design projects.  Since physical synthesis is important in today’s shrinking geometrics, a true vendor-independent tool should include physical synthesis that supports a broad range of FPGA devices.

Another common challenge of this approach is the lack of complete FPGA vendor design rule checks (DRC). As a result, customers using these tools might encounter DRC failures. The user is unable to debug the issue and is now at the mercy of the tool vendor to solve the bug problem.

In addition, this approach faces issues dealing with third-party intellectual property (IP). Typically, the IP is encrypted and the contents are not available to the synthesis tool. Therefore, the tool is unable to perform detailed placement and physical synthesis since this requires complete knowledge of the design. As a result, the designers are locked out from using these tools. To make things a little bit more interesting, FPGA vendors also offer some limited physical synthesis capabilities themselves. These FPGA vendor capabilities work well when operating on pure netlists, but not with synthesis solution which locks down placements.

Physical Synthesis for Everyone

The arguments so far have established the need for synthesis tools to be aware of the physical characteristics of the target FPGA device to produce the best QoR. A new state-of-the-art physical synthesis technology is necessary to address the challenges described above. This new approach must perform advanced delay estimation on the synthesized netlist, taking into account potential placements, available routing resources on the chip, and the design rules for the device. Armed with this information, the tool would perform a variety of physical optimizations such as retiming, replication, and re-synthesis.  This approach would help improve the overall timing of the netlist and can provide a much better starting point for P&R. Since the synthesis tool has much better timing models of the device, there would be no need to involve detailed P&R in the process, saving a lot of iteration time. This approach would be highly automated and would not require any involvement from the user. As a result, the technology could be used by all FPGA designers regardless of their expertise with synthesis tools.

The software architecture of this new physical synthesis technology must enable wide FPGA device support from all FPGA vendors and hence, provide designers with the flexibility to switch between FPGA devices as their project needs evolve. This approach would give the designer a true multi-vendor synthesis solution with the ability to select the best-suited device. If the FPGA vendor P&R tool offers physical synthesis capabilities of its own, the new physical synthesis technology would compliment it by providing a high quality netlist. In addition, the P&R runtimes would be shorter, due to the reduced effort required during this stage.

This approach would not lock placements or place other constraints on the P&R tool and allow P&R to achieve the best possible QoR. This clean “hand-off” between the new physical synthesis capability and the P&R would provide for optimized QoR, enabling designers to meet their project goals faster and with fewer iterations.

Leave a Reply

featured blogs
Jul 20, 2024
If you are looking for great technology-related reads, here are some offerings that I cannot recommend highly enough....

featured video

How NV5, NVIDIA, and Cadence Collaboration Optimizes Data Center Efficiency, Performance, and Reliability

Sponsored by Cadence Design Systems

Deploying data centers with AI high-density workloads and ensuring they are capable for anticipated power trends requires insight. Creating a digital twin using the Cadence Reality Digital Twin Platform helped plan the deployment of current workloads and future-proof the investment. Learn about the collaboration between NV5, NVIDIA, and Cadence to optimize data center efficiency, performance, and reliability. 

Click here for more information about Cadence Data Center Solutions

featured chalk talk

From Sensor to Cloud:A Digi/SparkFun Solution
In this episode of Chalk Talk, Amelia Dalton, Mark Grierson from Digi, and Rob Reynolds from SparkFun Electronics explore how Digi and SparkFun electronics are working together to make cellular connected IoT design easier than ever before. They investigate the benefits that the Digi Remote Manager® brings to IoT design, the details of the SparkFun Digi XBee Development Kit, and how you can get started using a SparkFun Board for XBee for your next design.
May 21, 2024
9,551 views