feature article
Subscribe Now

Xilinx vs. Altera

Calling the Action in the Greatest Semiconductor Rivalry

Hatfields vs McCoys, Coke vs Pepsi, Democrats vs Republicans, Army vs Navy, Nike vs Adidas, Microsoft vs Apple, iOS vs Android, Star Trek vs Star Wars, Deep Blue vs Kasparov, Edison vs Tesla… We love ourselves some feuds, don’t we? Any time capable competitors square off against each other and reach a standing state of equilibrium we have the ingredients for a fan-frenzied, religion-mimicking, mud-slinging, name-calling, grudge-holding feud.

In the FPGA world, that feud is Xilinx vs Altera.

This spirited rivalry has spanned the space of three decades. Like any good beef, this one transcends time and topic, and the cast of characters has changed multiple times while the battle raged on. Folks fighting on the front lines today may well have worked for the enemy last year, but that doesn’t alter their steadfast focus on the prime objective: Crush the Competition.

They say that “nothing unites people like a common enemy,” and by that token the folks at both Xilinx and Altera must be united indeed. Just about every announcement, PowerPoint presentation, marketing message, or press release from either company has at least some subtle jab at the folks across town. “Ours is 30% faster than any competitive device” is supposed to sound general, but everyone knows that the only “competitive device” or “device in its class” comes from the building 15.1 miles along Interstate 880. 

So grab your Red or Blue stadium seat, buy an over-priced beer, and – by all means, get that big foam #1 finger ready to rock. We’re about to give our take on the current state of the semiconductor world’s greatest rivalry.

For those of you who are completely unimaginative, we’ll get the easy stuff out of the way first. Market cap of Xilinx (as of this writing) is $13.77B, and for Altera is $11.4B. However, if you choose which FPGA to design into your electronic system based on market cap – well, we might be tempted to question your professional competence. Depending on what year you choose, what product categories you include, and the phase of the market moon, you will find market share numbers for Xilinx in the 45%-50% range, and for Altera in the 40%-45% range. Together, the two companies have steadily smashed out all competitors, rising from a cumulative 80% share to today’s approximately 90% combined. If you want to know our feelings about the importance of market share – check out “Keeping Score” our recent article on the topic.

If that last paragraph had the info you need, don’t even finish up your beer. Turn in your Red or Blue paraphernalia, give up your engineering credentials, and head on over to that accounting webcast you meant to be watching. You’ve clearly found yourself in the wrong venue. For the rest of you, let’s move on to the good stuff. Next into the ring – the most talked about test of bragging rights – who’s on the latest semiconductor process node first. We’ll not spend too much time on this one lest it become the Horse of Schroedinger whose state of being and of being beaten can be determined only through observation by the currently losing party.

For the past few process nodes, the score is as follows: 40/45nm – Altera wins. 28nm – Xilinx wins. 20nm – Xilinx wins again by default, because Altera changed the game, defecting from TSMC to Intel for the first of the FinFET-based FPGA nodes. As for the upcoming FinFET-having, Intel vs TSMC, 16nm vs 14nm, “Yours is really 20nm in disguise” vs “You’re having delays getting to production” battle – we don’t know who’s ahead.

However, if you consider the widely held belief that Intel is about a node or so ahead of all competitors, you may like Altera’s chances. If you consider TSMC’s vastly greater experience in FPGAs and as a merchant fab, you’ll go with Xilinx. If you think that Intel’s previous experience in production with 22nm FinFET-based chips for companies like Tabula and Achronix is important, maybe you’re back to Altera again. If you listen to the unsubstantiated rumors being spread by a controversial blogger, you might think all is doom and gloom for Altera and Intel, and sunshine and roses for Xilinx and TSMC. However, if you also look at the sponsor list for that blogger, you’ll see that both Xilinx and TSMC are listed as paid sponsors who cough up cash to have favorable things written about them, and Altera and Intel are not. Caveat reador.

If it’s any concession, we think that the race to production on the next node is a bit of a contrived battle. Only a very small number of projects are so precisely timed that one company is chosen over the other because of availability dates of future FPGA families. There are just too many other factors involved in the decision. In fact, in our repeated surveys of our FPGA-using reader base (that’s you, by the way), we consistently find that the most important criterion for choosing an FPGA company is “previous success with vendors’ tools and parts.”

That brings us to the next, and more interesting, part of our story.

Historically, you can pretty easily see that the node-to-node race comes out as a wash in the long run. One company wins one round, and the other wins the next. The design wins drift back and forth in favor of one vendor or another without a strong causal relationship showing between who “won” the node and who wins the sockets. Tools, however, are another story.

A little over a decade ago, Altera – with great fanfare – launched their “Quartus” tool suite. It just about put them out of business. The first Quartus was an utter and complete fiasco, and the company and its customers reeled from the impact. In a near-heroic achievement, the company came back with “Quartus II,” which not only healed the wounds caused by the original, it arguably propelled the company into a clear tool lead over Xilinx – which it held for at least a decade.

Xilinx, on the other hand, struggled along with its adequate-but-not-stellar “ISE” suite – which was a growing amalgamation of tools and technology acquired from various startups and failed ventures. Those diverse chunks were pieced together into a surprisingly functional Franken-tool that certainly could be used to complete FPGA designs successfully but was far from elegant, scaleable, or future-proof. As designs grew larger and more complex, ISE was in serious trouble. Xilinx needed a solution – to its own growing tool crisis, and to Altera’s long-term dominance in the tools race. The company responded with an ambitious engineering effort – a ground-up rewrite and re-thinking of the entire design flow – embodied in the new Vivado tool suite. 

Vivado is everything a brand-new, state-of-the-art, ASIC-class design suite should be. It is well conceived, tightly integrated, blazing fast, scalable, maintainable, and intuitive. The downside? Did we mention “brand-new”? Brand-new software is always a suspicious animal. Like good wine, new software improves with age – right up until the point where it begins to spoil and sour.

So the all-important tools race stacks up as the incumbent champ – Quartus II – probably beginning to show its age, while the wobbly-kneed youngster – Vivado – has all the makings of a future winner. Who’s ahead today? Again, it’s hard to tell. (Feel free to let us know your thoughts in the comments below). Long-term, however, Altera will need to move quickly and deliberately to keep Quartus II or its replacement in the game against Xilinx’s newfound strength with Vivado. 

On a related note, we should also mention the competition in IP. Historically, both companies had serious cases of “Not Invented Here” (NIH) syndrome. They tossed around terms like “sticky IP” (IP that, once designed in, sticks you to a particular FPGA supplier) and both companies created environments that actively discouraged third parties from entering or succeeding in the FPGA IP business. Today, however, both companies have changed their tunes pretty dramatically. It seems that the expansion of the FPGA market created a need for IP that was beyond the scope and capabilities of any one company to create and deliver. Both companies made concerted efforts to invite third-party IP suppliers to the party, make them feel welcome, and help them succeed. As a result, IP is less of a differentiator today than it has been in the past, and the winner is you. A robust FPGA IP ecosystem benefits everyone.

Finally, we look at the real heroes of the war – the armies of engineers who have made Xilinx and Altera into the dominant players they are today. We are talking about FAEs (field application engineers) here. These two companies have legions of highly capable FAEs who have more impact on the success of their companies’ products than any other factor. If you want to start your own FPGA company, you may have a novel idea for hardware architecture. You may have third-party sources for quality tools and IP. You may have a deal with the world’s greatest semiconductor fab to build your parts. Despite covering all these critical bases, the biggest single barrier to your success will be the absolute dominance of Xilinx and Altera FAEs. These folks are the closest thing you will ever find to an insurmountable defense.

So, that about wraps it up. If you were here looking for us to call a winner, you just don’t understand the true spirit of long-term rivalry. The whole point is – nobody ever wins. It’s about the conflict – not the outcome; the competition – not the victory. It’s about staring down your nemesis and – no matter how today’s events turned out, letting them know you’ll be back tomorrow with a vengeance. We wouldn’t have it any other way.

14 thoughts on “Xilinx vs. Altera”

  1. Node numbers are meaningless- they are the descendents of that equally meaningless measure- gate count.

    The good news about the rivalry is that it means there is no immediate threat of a monopoly.

  2. Pingback: GVK Biosciences
  3. Pingback: vitro pharmacology
  4. Pingback: juegos friv
  5. Pingback: agen poker
  6. Pingback: slot machines
  7. Pingback: important site
  8. Pingback: iraqi coehuman

Leave a Reply

featured blogs
Mar 18, 2024
If you've already seen Vivarium, or if you watch it as a result of reading this blog, I'd love to hear what you think about it....
Mar 18, 2024
Innovation in the AI and supercomputing domains is proceeding at a rapid pace, with each new advancement heralding a future more tightly interwoven with the threads of intelligence and computation. Cadence, with the release of its Millennium Platform, co-optimized with NVIDIA...
Mar 18, 2024
Cloud-based EDA tools are critical to accelerating AI chip design and verification; see how NeuReality leveraged cloud-based chip emulation for their 7NR1 NAPU.The post NeuReality Accelerates 7nm AI Chip Tape-Out with Cloud-Based Emulation appeared first on Chip Design....

featured video

We are Altera. We are for the innovators.

Sponsored by Intel

Today we embark on an exciting journey as we transition to Altera, an Intel Company. In a world of endless opportunities and challenges, we are here to provide the flexibility needed by our ecosystem of customers and partners to pioneer and accelerate innovation. As we leap into the future, we are committed to providing easy-to-design and deploy leadership programmable solutions to innovators to unlock extraordinary possibilities for everyone on the planet.

To learn more about Altera visit: http://intel.com/altera

featured paper

Reduce 3D IC design complexity with early package assembly verification

Sponsored by Siemens Digital Industries Software

Uncover the unique challenges, along with the latest Calibre verification solutions, for 3D IC design in this new technical paper. As 2.5D and 3D ICs redefine the possibilities of semiconductor design, discover how Siemens is leading the way in verifying complex multi-dimensional systems, while shifting verification left to do so earlier in the design process.

Click here to read more

featured chalk talk

Must be Thin to Fit: µModule Regulators
In this episode of Chalk Talk, Amelia Dalton and Younes Salami from Analog Devices explore the benefits and restrictions of Analog Devices µModule regulators. They examine how these µModule regulators can declutter PCB area and increase the system performance of your next design, and the variety of options that Analog Devices offers within their Ultrathin µModule® regulator product portfolio.
Dec 5, 2023
14,435 views