industry news
Subscribe Now

Synopsys Announces Industry’s Most Comprehensive Automotive ISO 26262 Certification for Design Platform

Certification for Tools and Flows Bolsters Synopsys Position as #1 EDA Provider for Automotive Semiconductor Component and System Designs

MOUNTAIN VIEW, Calif., March 20, 2018 /PRNewswire/ —

Highlights:

  • Full flows using the Synopsys Design Platform with Fusion Technology independently certified for ISO 26262 compliance by exida, the leading automotive functional safety certification company
  • Unique combination of tool and full-flow certification enables ISO 26262 compliant deployment of implementation tools for automotive designs with allocated safety requirements from ASIL A through ASIL D
  • Certification includes 40 tools spanning custom, analog/mixed-signal (AMS), digital implementation, signoff and library development flows
  • Functional safety kits available now for download

Synopsys, Inc. (Nasdaq: SNPS) today announced the industry’s most comprehensive independent ISO 26262 functional safety assessment and certification for all the tools in the Synopsys Design Platform, enabled by Synopsys’ Fusion Technology. This certification allows automotive semiconductor component and system designers to meet the most stringent safety-related requirements for modern-era vehicles. The comprehensive ISO 26262 assessments have been performed by exida, an ANSI-accredited certification body for functional safety in automotive and other industries. ISO 26262 certification allows Synopsys tools and flows to be deployed in the development of automotive designs with safety requirements from ASIL A through ASIL D. These flows are the first to conform to the most stringent requirements of both the ISO 26262:2011 standard and the draft release of the upcoming ISO 26262:2018 second edition.

“Renesas has long been performing ISO 26262 evaluation and assessment for all of the tools in our design flow,” said Hideyuki Okabe, director of the Digital Design Technology Department, Shared R&D Division 2, Broad-based Solution Business Unit at Renesas Electronics Corporation. “We are excited about the availability of these new flow certifications for the Synopsys Design Platform for our present and future safety-related automotive designs targeting for ADAS and automated driving applications.”

These design flow certifications complement the full portfolio of tools that have already been independently ISO 26262-certified. Covering 40 tools spanning custom, analog/mixed-signal (AMS), digital implementation, signoff, and library development products, this unprecedented level of certification delivers the industry’s most comprehensive and broadest portfolio of ISO 26262 certified EDA design tools. The ISO 26262 standard outlines requirements that must be considered during the development of safety-related automotive systems and components, including mandatory software tool evaluation and potential qualification for design tools. As a result of this activity, Synopsys provides downloadable kits, which include the industry’s most thorough Functional Safety Manual for each product that prescribes conditions and assumptions of use for specific tool use cases and potential software tool malfunctions, facilitating the end-user’s tool deployment and qualification process.

“We have worked with Synopsys to assess their EDA tools and tool chains against the objectives and requirements of the ISO 26262 standard. We certify that all software tools in the assessed tool chains have achieved a required Tool Confidence Level of TCL1, and that they can be used in the development of semiconductors with allocated requirements up to the highest automotive safety integrity level (ASIL) D,” said Alexander Griessing, principle safety expert and manager at exida. “Synopsys has performed a very detailed analysis of potential software tool malfunctions, and has defined effective prevention and detection measures. The Functional Safety Manuals summarize these to provide clear and practical guidance to Synopsys tool users.”

“We have recently seen a significant improvement in test coverage and component manufacturing by deploying Synopsys’ ISO 26262-certified test platform,” said Aw Yong Chee Kong, president of Phison Electronics Corporation. “Synopsys Design Platform tool and flow certification enables us to ensure that our automotive design flow is fully compliant to the ISO 26262 standard.”

“We are already the business and technology leader in the automotive IC design segment of semiconductors,” said Michael Jackson, corporate vice president of marketing and business development for the Design Group at Synopsys. “Building on our products that have already been certified, this unique full-flow certification enables our established and new automotive customers to confidently deploy our Design Platform, enabled by Fusion Technology, on their next super-chip design for the automotive market.”

Availability

The three design flows that are certified are digital RTL through signoff, custom/AMS, and library development flows. The certificates for ISO 26262 compliance for Synopsys’ digital, custom/AMS, and library development flows can be viewed at http://www.exida.com/SAEL as they become available. The certified Digital and Custom/AMS Tool Chain Functional Safety Kits are now available and can be downloaded from the SolvNet® website. The Library Tool Chain Functional Safety Kit is scheduled to be available in early Q2 2018. Synopsys will host a session on “ISO 26262: What’s Required for Safety-Critical Semiconductor Designs?” at the Synopsys Users Group (SNUG®) Silicon Valley event, March 21, at the Santa ClaraConvention Center in Santa Clara, Calif., to provide more information on this certification to Synopsys customers.

About Fusion Technology

Synopsys’ breakthrough Fusion Technology transforms the RTL-to-GDSII design flow with the fusion of best-in-class optimization and industry-golden signoff tools, enabling designers to accelerate the delivery of their next-generation designs with the industry-best full-flow quality-of-results (QoR) and the fastest time-to-results (TTR). It redefines conventional EDA tool boundaries across synthesis, place-and-route and signoff, sharing engines across the industry’s premier digital design tools, and using a unique, single data model for both logical and physical representation. Fusion Technology enables one DNA backbone across the Synopsys Design Platform that includes IC Compiler II place-and-route, Design Compiler® Graphical synthesis, PrimeTime® signoff, StarRC extraction, IC Validator physical verification, DFTMAX test, TetraMAX® II automatic test pattern generation (ATPG), SpyGlass® DFT ADV RTL testability analysis, and Formality® equivalence checking. It provides Design Fusion, ECO Fusion, Signoff Fusion, and Test Fusion, resulting in the most predictable RTL-to-GDSII flow with the fewest iterations, as well as unsurpassed design frequency, power, and area.

About Synopsys

Synopsys, Inc. (Nasdaq: SNPS) is the Silicon to Software partner for innovative companies developing the electronic products and software applications we rely on every day. As the world’s 15th largest software company, Synopsys has a long history of being a global leader in electronic design automation (EDA) and semiconductor IP and is also growing its leadership in software security and quality solutions. Whether you’re a system-on-chip (SoC) designer creating advanced semiconductors, or a software developer writing applications that require the highest security and quality, Synopsys has the solutions needed to deliver innovative, high-quality, secure products. Learn more at www.synopsys.com.

Leave a Reply

featured blogs
Apr 24, 2024
Learn about maskless electron beam lithography and see how Multibeam's industry-first e-beam semiconductor lithography system leverages Synopsys software.The post Synopsys and Multibeam Accelerate Innovation with First Production-Ready E-Beam Lithography System appeared fir...
Apr 24, 2024
Diversity, equity, and inclusion (DEI) are not just words but values that are exemplified through our culture at Cadence. In the DEI@Cadence blog series, you'll find a community where employees share their perspectives and experiences. By providing a glimpse of their personal...
Apr 18, 2024
Are you ready for a revolution in robotic technology (as opposed to a robotic revolution, of course)?...

featured video

MaxLinear Integrates Analog & Digital Design in One Chip with Cadence 3D Solvers

Sponsored by Cadence Design Systems

MaxLinear has the unique capability of integrating analog and digital design on the same chip. Because of this, the team developed some interesting technology in the communication space. In the optical infrastructure domain, they created the first fully integrated 5nm CMOS PAM4 DSP. All their products solve critical communication and high-frequency analysis challenges.

Learn more about how MaxLinear is using Cadence’s Clarity 3D Solver and EMX Planar 3D Solver in their design process.

featured paper

Designing Robust 5G Power Amplifiers for the Real World

Sponsored by Keysight

Simulating 5G power amplifier (PA) designs at the component and system levels with authentic modulation and high-fidelity behavioral models increases predictability, lowers risk, and shrinks schedules. Simulation software enables multi-technology layout and multi-domain analysis, evaluating the impacts of 5G PA design choices while delivering accurate results in a single virtual workspace. This application note delves into how authentic modulation enhances predictability and performance in 5G millimeter-wave systems.

Download now to revolutionize your design process.

featured chalk talk

Designing for Functional Safety with Infineon Memory
Sponsored by Mouser Electronics and Infineon
In this episode of Chalk Talk, Amelia Dalton and Alex Bahm from Infineon investigate the benefits of Infineon’s SEMPER NOR Flash and how the reliability, long-term data retention, and functional safety compliance make this memory solution a great choice for a variety of mission critical applications. They also examine how SEMPER NOR Flash has been architected and designed for functional safety and how Infineon’s Solutions Hub can help you get started using SEMPER NOR Flash in your next design.
Apr 22, 2024
420 views