industry news
Subscribe Now

Real Intent Launches Verix PhyCDC – the Next Innovation in SoC Design Sign-off

New Analysis Tool Brings Giga-Scale Capacity and Fastest Analysis to Gate-Level Designs

SUNNYVALE, CA– (June 18, 2018) – Real Intent, Inc., a leading provider of SoC and FPGA sign-off verification solutions, today announced Verix PhyCDC – a new tool to debug clock domain crossing violations at the gate level netlist of digital designs. Complementing Real Intent’s Verix CDC solution for RTL sign-off, Verix PhyCDC maintains Real Intent’s product leadership in delivering the industry’s fastest-performance, highest-capacity and most precise CDC solutions in the market.

Verix PhyCDC targets the post-synthesis stage of SoC design at the gate level, where physical implementation tools can introduce changes that might cause unintended signal noise and functional failures. It leverages the results from RTL CDC to identify incremental CDC paths and constraints to optimize the CDC analysis at gate level while providing maximum coverage. Verix PhyCDC addresses malfunctions such as glitching on control signals, clock networks and data signal paths; and incorrect optimization of clock synchronizer logic. Verix PhyCDC also includes iDebug, Real Intent’s state-of-the-art design intent debugger and data manager.

Ramesh Dewangan, vice president of product strategy at Real Intent, said, “CDC verification traditionally has been targeted at RTL sign-off before physical implementation begins. The CDC problems introduced during synthesis along with the addition of test logic and low-power optimizations are risk factors for SoC designs at the physical implementation stage. With the largest capacity of any tool in the industry, Verix PhyCDC provides verification without sacrificing precision. Its easy setup by reusing constraints from RTL, parallel processing to significantly reduce runtime, and incremental sign-off through diff analysis gives implementation teams the fastest pathway for RTL + Netlist CDC sign-off. They can be confident the designs they are handing off to tape-out are free of CDC bugs.”

Real Intent will give presentations on Verix PhyCDC in Booth #1431 during the Design Automation Conference in San Francisco, June 25 to 27. Appointment times can be arranged here.

For more information on Verix PhyCDC, a white paper and data sheet are available.

Availability
Verix PhyCDC is available now. Pricing depends on product configuration. For more information, please email info@realintent.com

About Real Intent
Real Intent is the industry leader in static sign-off of digital designs. Companies worldwide rely on Real Intent’s EDA software to accelerate early functional verification and sign-off at RTL as well as gate-level. Its intent-driven static technology powers solutions for clock and reset domain crossing analysis (CDC, RDC), and cleaned RTL code and X-pessimism correction, to ensure design success for SoCs and FPGAs. Real Intent products lead the market in performance, capacity and accuracy, and provide a faster time to tape out. Please visit www.realintent.com for more information.

Leave a Reply

featured blogs
Mar 27, 2024
The current state of PCB design is in the middle of a trifecta; there's an evolution, a revolution, and an exodus. There are better tools and material changes, there's the addition of artificial intelligence and machine learning (AI/ML), but at the same time, people are leavi...
Mar 26, 2024
Learn how GPU acceleration impacts digital chip design implementation, expanding beyond chip simulation to fulfill compute demands of the RTL-to-GDSII process.The post Can GPUs Accelerate Digital Design Implementation? appeared first on Chip Design....
Mar 21, 2024
The awesome thing about these machines is that you are limited only by your imagination, and I've got a GREAT imagination....

featured video

We are Altera. We are for the innovators.

Sponsored by Intel

Today we embark on an exciting journey as we transition to Altera, an Intel Company. In a world of endless opportunities and challenges, we are here to provide the flexibility needed by our ecosystem of customers and partners to pioneer and accelerate innovation. As we leap into the future, we are committed to providing easy-to-design and deploy leadership programmable solutions to innovators to unlock extraordinary possibilities for everyone on the planet.

To learn more about Altera visit: http://intel.com/altera

featured chalk talk

Portable Medical Devices and Connected Health
Decentralized healthcare is moving from hospitals and doctors’ offices to the patients’ home and office and in the form of personal, wearable, and connected devices. In this episode of Chalk Talk, Amelia Dalton and Roger Bohannan from Littelfuse examine the components, functions and standards for a variety of portable connected medical devices. They investigate how Littelfuse can help you navigate the development of your next portable connected medical design.
Jun 26, 2023
31,405 views