industry news
Subscribe Now

ATopTech’s Aprisa Physical Design Solution Included in TSMC Reference Flow 12.0 for 28nm Designs

SAN JOSE, CA – May 31, 2011 — ATopTech, the leader in next generation physical design solutions, today announced that Aprisa™, the company’s place and route solution, is included in TSMC Reference Flow 12.0. TSMC and ATopTech collaborated in the development of Reference Flow 12.0 to address the increasing design challenges for 28nm. Many new technologies–including 28nm design enablement, timing, reliability, low power and design for manufacturing (DFM) capability — have been implemented in Aprisa to enable customer design successes in smaller geometries.

 “We are pleased to add ATopTech’s Aprisa P&R tool into TSMC Reference Flow 12.0,” said Suk Lee, director of design infrastructure marketing at TSMC. “The close collaboration between the two companies strengthens TSMC’s 28nm design infrastructure and will help enable smooth and successful 28nm projects for our joint customers.”

“As part of the process of qualification by TSMC for 28nm design enablement, we have undertaken extensive new algorithm developments to ensure minimum runtime impact to the router as a result of expanded design rules at lower geometries,” said Jue-Hsien Chern, CEO of ATopTech. “Inclusion in TSMC Reference Flow 12.0 continues our commitment to providing customers with cutting-edge physical design tools for advanced process nodes.”

ATopTech’s physical design tools are architected specifically to meet the challenges of designing integrated circuits (ICs) at the most advanced technology nodes. Aprisa is the company’s complete place and route (P&R) solution, including placement, clock tree synthesis, optimization, global routing, and detailed routing. Aprisa’s latest release supports TSMC’s 28nm design rules in Reference Flow 12.0. 

TSMC Reference Flow 12.0 Enhancements

  • 28nm Design Enablement
  • Reliability

    EM-aware clock-tree synthesis (CTS) placement has been implemented to mitigate electro-migration problems on the power and ground rails due to very thin metal wires and cell architecture. Power-budget information is used by CTS to spread out high-current buffers for desired EM quality.  

  • Timing
  • a. Critical-path-aware GDSII output: Uses GDSII marker layers to ensure critical-path timing is not disturbed by post-route processes.
  • b. Timing optimization for resistance: Resolves timing/SI issues caused by higher wire resistance in advanced process nodes.
  • c. Add-on OCV derating for SBOCV: Optimization with SBOCV for process variation and add-on OCV for other design variations, such as temperature and voltage variations.
  • d. Cell-based setup/hold uncertainty – Reduces timing pessimism by setting clock uncertainty to cell masters individually, instead of using a global clock uncertainty.
  • Low power
  • a. Leakage power optimization under MCMM: Optimizes leakage power with Multi-Vt and gate-bias libraries in post-route stage without impacting timing under MCMM.
  • b. Low clock power flop placement: Improves dynamic power of clock tree by placing flops closely.
  • c. Library pin with non-default rule attributes: Ensures cell-based voltage-dependent routing rules are observed for low-power designs.

About ATopTech

ATopTech, Inc., is the technology leader in IC physical design. ATopTech’s technology offers the fastest time to design closure focused on advanced technology nodes. The use of state-of-the-art multi-threading and distributed processing technologies speeds up the design process, resulting in unsurpassed project completion times. For more information, see www.atoptech.com

Leave a Reply

featured blogs
Apr 16, 2024
In today's semiconductor era, every minute, you always look for the opportunity to enhance your skills and learning growth and want to keep up to date with the technology. This could mean you would also like to get hold of the small concepts behind the complex chip desig...
Apr 11, 2024
See how Achronix used our physical verification tools to accelerate the SoC design and verification flow, boosting chip design productivity w/ cloud-based EDA.The post Achronix Achieves 5X Faster Physical Verification for Full SoC Within Budget with Synopsys Cloud appeared ...
Mar 30, 2024
Join me on a brief stream-of-consciousness tour to see what it's like to live inside (what I laughingly call) my mind...

featured video

How MediaTek Optimizes SI Design with Cadence Optimality Explorer and Clarity 3D Solver

Sponsored by Cadence Design Systems

In the era of 5G/6G communication, signal integrity (SI) design considerations are important in high-speed interface design. MediaTek’s design process usually relies on human intuition, but with Cadence’s Optimality Intelligent System Explorer and Clarity 3D Solver, they’ve increased design productivity by 75X. The Optimality Explorer’s AI technology not only improves productivity, but also provides helpful insights and answers.

Learn how MediaTek uses Cadence tools in SI design

featured chalk talk

Package Evolution for MOSFETs and Diodes
Sponsored by Mouser Electronics and Vishay
A limiting factor for both MOSFETs and diodes is power dissipation per unit area and your choice of packaging can make a big difference in power dissipation. In this episode of Chalk Talk, Amelia Dalton and Brian Zachrel from Vishay investigate how package evolution has led to new advancements in diodes and MOSFETs including minimizing package resistance, increasing power density, and more! They also explore the benefits of using Vishay’s small and efficient PowerPAK® and eSMP® packages and the migration path you will need to keep in mind when using these solutions in your next design.
Jul 10, 2023
31,435 views