feature article
Subscribe Now

Livin’ on the Edge

Xilinx Shipping Artix Low-Cost FPGAs

Xilinx has announced that they are now shipping the first members of the new 28nm, low-cost, Artix family, rounding out the lineup of three 28nm families they announced a couple of years ago. The Artix-7 A100T device has now “shipped” and is in the hands of eager engineers, ready to push their next design to the very edge of possibility.

Starting with the 28nm process node, Xilinx re-named their product families. In the old days (with “today” being counted as one of the “old days” already), we had Virtex and Spartan. Virtex was the bad-ass, balls-to-the-wall, all-the-FPGA-we-can-pack-onto-a-slice-of-silicon-at-any-price family. Spartan was, as its name implied, the economical, stripped-down, cost-is-king family. Life was simple. The price difference between the top-of-the-line Virtex and the gimme-a-pound-o-them-FPGAs Spartan could be 1000x.

With 28nm and the “7-series,” the company re-distributed the family names. Spartan was sent packing. The brand had not behaved well, and, apparently, it got its pink slip one Friday afternoon before a three-day weekend, without so much as a re-deployment package, severance pay, or free career-counseling and placement services. Poor Spartan just signed the form that said it understood about its COBRA benefits, turned in its key-card, and headed off to become a forgotten paragraph on a wikipedia page – barely remembering to turn in the document acknowledging that it promises never to divulge company secrets. 

Waiting at new-employee-orientation to take Spartan’s place were two new families – Kintex and Artix. Virtex was still around, of course, and had gotten a promotion to a whole new process node. Henceforth, Xilinx would have three FPGA families – Virtex, Kintex, and Artix – and the associated task of explaining to the world the subtle differences between the three FPGA family ranges. With the 7-Series, Virtex went first. That was the easy part, marketing-wise. “Here is the biggest, fastest, most amazing thing we can put on silicon.” Its performance is impressive – boasting up to 2-million equivalent logic cells, a gaggle of multi-gigabit SerDes transceivers, and debuting “stacked silicon interconnect,” where multiple dies are interconnected on a silicon interposer.

Next up was Kintex. Kintex is for… people who don’t quite need Virtex. If last season’s Virtex device was good enough for your application, Kintex will probably do the job for you today – at a fraction of the cost, and with lower power consumption. If we didn’t have Virtex, Kintex would be an impressive family in its own right, enabling lots of innovative applications to get to market faster, do more, and burn less power.

Finally, this week, we got the long-awaited, low-cost, low-power Artix. Artix is sitting in Spartan’s old office. It’s a little creepy in there. Some of Spartan’s old stuff is still piled in the corner, like the company-logo Frisbee from the 2004 “Let’s make 90nm the best node ever!” picnic. (Spartan never quite got over that one.) Artix has a tough job to do, marketing-wise. It’s a brand-new family with a brand-new name. Some people expect it to be Spartan. It isn’t. It’s got a completely different job to do.

Xilinx explains Artix as being intended for “applications at the edge.” While we all try to avoid getting a Lady Gaga song stuck in our heads, we can explain that these “edge” applications are those with performance, power, space, and cost constraints that are on the edge of what is possible with today’s technology. Most of Xilinx’s examples of “edge” applications are the portable, more deployable, higher-volume younger siblings of high-end Virtex applications. For example, while a large, heavy-iron, hospital-based ultrasound machine might have used Virtex devices, the portable version needs to achieve similar performance standards with less power, smaller form factor, and lower cost. Or, Artix would be good in smaller base stations to help handle our exponentially-growing mobile bandwidth needs. These small base stations require more performance, smaller form factors, and lower cost than the older, larger versions with their expensive high-end FPGA devices.

In the Artix marketing materials, Xilinx mentions applications like portable ultrasound, small base stations, microwave backhaul units, handheld software-defined radio, machine vision, munitions, digital displays, and many more. The thing that these applications have in common include extraordinary performance requirements – needing features such as DSP acceleration, multi-gigabit SerDes interfaces, and high capacity – on a very small power and cost budget.

Putting Artix into perspective, today’s Artix-7 FPGAs are very similar to the 65nm Virtex-5 family in capability. If you line up the datasheets of Artix-7 and Virtex-5, you may even find places that Artix is more capable. Applications that were pushing the limits of technology four years ago with Virtex-5 devices are now challenged to do the same thing – but on a very strict power and cost budget. That is the “edge” that Xilinx is talking about.

Artix may be sitting in Spartan’s old office, but since Artix FPGAs are more like the Virtex devices from Spartan’s days, we really should not be comparing the two. In reality, 28nm FPGAs are worlds apart from their 65nm and 40nm ancestors. While Xilinx bills Artix as “The World’s Lowest-Power FPGA,” of course, it is not. Tiny devices from other vendors have that title sewn up. However, those devices are so far from the capability of Artix-7 that they really are not even remotely in the same category. The fact that they’re all called simply “FPGAs” belies the vast range of capabilities available in these devices.

The first Artix-7 device shipping – the A100T — has 100K logic-cell density, 240 DSP slices, 8 multi-gigabit transceivers, up to 4.8Mb of RAM, and 300 user IOs. The Artix-7 family will have 3 members, with up to 360K logic cell density and up to 16 transceivers. In other words, these are very serious devices that happen to also boast low cost and low power. If you were expecting Artix to be simply a re-named Spartan, you’d better put your Chuck Norris designer pajamas on. You’re gonna need to do some seriously different engineering to hit the sweet spot in Artix’s capability compared with your old trusty Spartan. Time to get to work.

6 thoughts on “Livin’ on the Edge”

  1. This week, Xilinx announced they are now shipping their Artix-7 low-cost low-power FPGA family – aimed at “edge” applications. What do you think?

  2. Thanks for the article Kevin. A point of clarficiation – Spartan has not been voted off the island and in fact is a key component as part of Xilinx low-cost portfolio. Artix-7 is an addition to an already robust low-cost offering. The Spartan-6 family is in fact going strong and we expect customers to be using these devices for some time to come.

    Also, the first family in our 28nm generation to ship was Kintex-7. You can see the March 2011 announcement here: http://press.xilinx.com/phoenix.zhtml?c=212763&p=irol-newsArticle&ID=1540828&highlight=

    – Bruce

  3. Why is it that there are no devices with simple packaging? If I want a million gate device with say, a 48 or 24 pin package, it is just not available!!

  4. Hmm, the A100T with 100K “logic-cells” (i.e. 63400 6-input LUTs) being the smallest part of this family, I wonder what the future really low-cost parts will be? Hard to imagine that a A100T will ship for US$5, even in high quantities… Also Cyclone V starts at 25K, which is quite large. Is the low-cost market no longer of interest for the two big players?

  5. “This week, Xilinx announced they are now shipping their Artix-7 low-cost low-power FPGA family – aimed at “edge” applications. What do you think?”

    Well, frankly I think this announcement is only true in the dreams of their marketing department.

    By “low-cost” I bet they actually mean so eye-wateringly expensive that you can’t afford to design one into any household commercial product that might benefit from their nice features; and so they will still be restricted to use in the telecoms market like always.

    Also, by “shipped” I bet they actually mean “might be available in Europe by Christmas”. No distributors have any stock of what they have “shipped”, so I don’t know where they ship them to!

    I really hope the start-up Achronix starts a price-war with Altera and Xilinx. This would help reduce the massively over-inflated prices that the “big-two” duopoly are currently charging for their chips.
    Imaging all the cool consumer products we could design if only FPGAs were priced like other chips.

Leave a Reply

featured blogs
Mar 28, 2024
The difference between Olympic glory and missing out on the podium is often measured in mere fractions of a second, highlighting the pivotal role of timing in sports. But what's the chronometric secret to those photo finishes and record-breaking feats? In this comprehens...
Mar 26, 2024
Learn how GPU acceleration impacts digital chip design implementation, expanding beyond chip simulation to fulfill compute demands of the RTL-to-GDSII process.The post Can GPUs Accelerate Digital Design Implementation? appeared first on Chip Design....
Mar 21, 2024
The awesome thing about these machines is that you are limited only by your imagination, and I've got a GREAT imagination....

featured video

We are Altera. We are for the innovators.

Sponsored by Intel

Today we embark on an exciting journey as we transition to Altera, an Intel Company. In a world of endless opportunities and challenges, we are here to provide the flexibility needed by our ecosystem of customers and partners to pioneer and accelerate innovation. As we leap into the future, we are committed to providing easy-to-design and deploy leadership programmable solutions to innovators to unlock extraordinary possibilities for everyone on the planet.

To learn more about Altera visit: http://intel.com/altera

featured chalk talk

Power Gridlock
The power grid is struggling to meet the growing demands of our electrifying world. In this episode of Chalk Talk, Amelia Dalton and Jake Michels from YAGEO Group discuss the challenges affecting our power grids today, the solutions to help solve these issues and why passive components will be the heroes of grid modernization.
Nov 28, 2023
16,435 views