feature article
Subscribe Now

Enigmatic EDA

DAC Highlights Dilemma

The world of embedded electronics exists on the bounty of Moore’s Law.  Every two years, our canvas doubles in size, and the creations we can conceive seem to square in complexity.  As embedded systems engineers, we live in an odd universe where “status quo” involves a steady forty-year exponential growth curve.  Such an extraordinary trend has a way of creating its own distorted reality.

This week, the annual Design Automation Conference is in session in San Diego, California.  This is the 44th annual conference, which means that DAC has been with us approximately as long as Moore’s Law.  Ironically, while the process of fabricating chips has steadily improved, doubling the number of gates we have about every two years, the electronic design automation (EDA) world sees this equation from the other side.  Every two years, they have to deliver software that can somehow double our productivity as electronic designers.  Otherwise, the first thing to fall behind the curve on Moore’s Law will be us.

EDA has long shown this challenge with a log graph showing two lines: the upper line is the exponentially increasing number of gates available on our silicon platforms, and the lower line is the not-quite-so-exponential growth in our ability to put those gates to some use.  The part between those lines – labeled “the gap” – is the driving force behind technology advancement in the EDA industry.

The industry has done a great job on that challenge over the past two decades.  Each time Moore’s Law doubled the gates, EDA answered with an engineering productivity boost to match.  The number of effective system gates designed per engineer day has skyrocketed over that period, giving us what would seem like superhero powers to our peers of the past.  Capabilities that today’s hardware, software, and systems engineers take for granted are almost unimaginable by their standards – and most of those capabilities are directly attributable to innovation in electronic design automation software and IP. 

Why then, in an electronics industry that has ballooned to become an impressive portion of the civilized world’s economy, does the critical enabling contribution of the EDA industry consistently fail to capture its fair share of the pie?  EDA has experienced little more than lethargic growth for a number of years, and the total market is still estimated at something like 1% of the global semiconductor market it supports (not including the even larger electronics system markets driven by those technologies.)  The answer is that EDA has yet to come up with a suitable business model for itself. 

Because EDA tools are software, the logical approach is to follow the models of the broader software industry for guidance.  Unfortunately, EDA has important distinguishing characteristics that make that model far from ideal for capturing EDA’s fair share of the revenue river.  For years, by following the examples set by broad-based software companies, EDA suppliers have collectively sold themselves short.

First, as we all know, electronics engineers are special people.  There aren’t that many of us working in the world. (You may all take a bow now.)  If an entire industry makes its living by selling design software to us, each one of us will have to tote a relatively hefty percentage of that tab.  To make matters worse, we are far from a homogenous crowd.  The number of distinct electronic engineering disciplines has been increasing dramatically, and each one of those specialized areas commands its own set of highly-specialized tools.  An expert in signal integrity for high-speed printed circuit board design, for example, will have little use for technology that does layout verification on complex, nanometer-scale ICs.  A professional processor architect will not be taking the latest analog simulator for a test-drive any time soon, and the world’s brain trust on packet switching technologies won’t really care much about yield optimization tools for semiconductor manufacturing. 

Each of these separate design disciplines forms a micro-market – often just a few hundred top-notch working engineers worldwide in a particular narrow area.  The tools required to do these jobs, however, become more demanding with each process geometry shrink and with each accompanying speed- and gate-count explosion.  As a result, the EDA industry is developing some of the world’s most complex and sophisticated software for target install bases that can range from only tens to hundreds of seats.  An EDA product that sells a thousand seats or more in its lifetime is a rare commodity indeed.  If you amortize the cost of developing that software over these small audiences, you can see that EDA needs to recover gigantic sums from each end user in order to recover its development costs.

Development costs for a top quality EDA tool can easily run into the double-digit millions of dollars, and development costs for tools pale in comparison to the expense EDA companies incur in selling, distributing, and supporting them.  Take $20 million to $30 million worth of costs associated with a single tool, divide that by a couple hundred users, and you can quickly surmise that an EDA point-tool often needs to pull upwards of $100K per seat in its lifetime to even fill its own food dish.  If a typical electronics engineer needs a suite of five to ten tools to do his or her job effectively, you’re looking at a pretty expensive cockpit for electronic system design. 

Of course, most of us pay nothing like that tab for the tools we use every day, despite the fact that such an expense would often be justified by the leverage that the tools provide in creating marketable products.  How then, does EDA make this magic equation work?  The answer is a phenomenon that has played itself out for decades with DAC as its stage.  It works like this – the four largest EDA companies have big booths and suites that are churning customers through elaborate mazes filled with demonstrations, PowerPoint presentations, and prize giveaways.  A large percentage of the remaining floor space is occupied by hopeful startup companies with an 8X8 booth, a card table, and a poster-board sign.

You see, it doesn’t take much to start an EDA company.  Get yourself a couple of competent engineers and a computer or two, and you’re good to go.  Can you program?  Are you reading this article on a PC?  Give it a try.  Start yourself an EDA company right now.  Find some tough problem that you struggle with in your daily engineering job, and whip up a nice tool to help you with it.  Burn a little midnight oil making it look like a commercial software product.  Get a marketing guy to make some matching PowerPoint slides, and you’re ready to hit the road.  Win over a few beta customers with outrageous claims of productivity increases and dramatic discounts, and you’ll have the credibility you need to go market your startup to one of the big four EDA companies.  If you did your homework well, you could walk away with a few million dollars to divide between yourself and your college buddies.  If you did poorly, you may get a job offer that’ll keep you employed for a couple of years while your product gets the “end-of-life” treatment administered by a group of dedicated professionals.

While this may be a slight caricature of the real-world process, it isn’t that far from reality.  This game allows EDA companies to dramatically reduce product development costs while skimming off the cream of the best products to add to their portfolios.  Innovation happens where it grows best – in small, motivated startup companies.  Sales, support and distribution are also handled by those best qualified to administer those processes: larger, established corporations.  Today, however, that picture is starting to change ever so slightly.  “We’re seeing an increasing number of companies that don’t want to integrate point tools from multiple vendors,” says Eric Filseth, corporate vice president of marketing at Cadence Design Systems.  “More people are wanting a complete, integrated design flow from a single company.”

Producing that complete, integrated flow is more challenging than quickly stitching together an array of point tools acquired from ad-hoc EDA start-ups.  “The design flow from RTL through implementation and physical verification has become very well tuned and automated,” Filseth continues.  “A five or ten percent advantage from a single point tool is no longer a compelling reason, and some issues like power optimization require the cooperation of the whole tool chain to address effectively.”

EDA companies are constantly required to re-define themselves in terms of the pressing problems of the day and of the current process node.  Yesterday’s hot technologies are usually today’s commodity tools.  All of the major companies are long past the “single-tool franchise” stage.  They have mature product lifecycle processes, sophisticated sales and marketing channels, and even savvy acquisition processes honed over years of real-world experience. 

This year, the show floor at DAC is buzzing with messages about system verification, increased design abstraction, hardware prototyping, and co-design of hardware and software components of complex systems (just to name a few topics).  While no technologies have been announced this year that will revolutionize embedded system design, the gradual evolution of existing methodologies and the maturation of the design process from concept through implementation and verification will continue to keep us in step with Mr. Moore for the next decade at least.

Will EDA also eventually figure out how to claim their fair share of the fountain of electronic product bounty?  Probably not… which is too bad, because the re-investment of those funds into accelerated development of new and innovative tool technologies would probably pay big dividends in the acceleration of productivity in our industry. 

Leave a Reply

featured blogs
Apr 18, 2024
Are you ready for a revolution in robotic technology (as opposed to a robotic revolution, of course)?...
Apr 18, 2024
See how Cisco accelerates library characterization and chip design with our cloud EDA tools, scaling access to SoC validation solutions and compute services.The post Cisco Accelerates Project Schedule by 66% Using Synopsys Cloud appeared first on Chip Design....
Apr 18, 2024
Analog Behavioral Modeling involves creating models that mimic a desired external circuit behavior at a block level rather than simply reproducing individual transistor characteristics. One of the significant benefits of using models is that they reduce the simulation time. V...

featured video

MaxLinear Integrates Analog & Digital Design in One Chip with Cadence 3D Solvers

Sponsored by Cadence Design Systems

MaxLinear has the unique capability of integrating analog and digital design on the same chip. Because of this, the team developed some interesting technology in the communication space. In the optical infrastructure domain, they created the first fully integrated 5nm CMOS PAM4 DSP. All their products solve critical communication and high-frequency analysis challenges.

Learn more about how MaxLinear is using Cadence’s Clarity 3D Solver and EMX Planar 3D Solver in their design process.

featured chalk talk

IoT Data Analysis at the Edge
No longer is machine learning a niche application for electronic engineering. Machine learning is leading a transformative revolution in a variety of electronic designs but implementing machine learning can be a tricky task to complete. In this episode of Chalk Talk, Amelia Dalton and Louis Gobin from STMicroelectronics investigate how STMicroelectronics is helping embedded developers design edge AI solutions. They take a closer look at the benefits of STMicroelectronics NanoEdge-AI® Studio and  STM32Cube.AI and how you can take advantage of them in your next design. 
Jun 28, 2023
33,824 views