feature article
Subscribe Now

Dangling Propositions

2006 in FPGA

Every year, we put on our historian hats and look back at the events of the previous twelve months.  (It turns out that our historian hats are orders of magnitude more accurate than our future-predicting goggles, by the way.)  This year, the industry continued an inertial growth trend in both the technology and business axes.  OK, there.  We’re done.  You can stop reading and head off to celebrate whatever holiday your particular culture observes this time of year — even if it’s just international “the office is closed for a couple of days” day.

Still reading?  Or – at least using this window to cover up the Amazon.com browser window behind until that oh-so-nosey person stops looking over your shoulder?  They just won’t leave, will they?  Little do they know that even the power of expedited shipping may not be able to help you now. Still, you can compare features online and then rush out to the local store for the final purchase.  HINT:  Beware pre-mature features.  They may sound great on the data sheet, but when you get them home, you’ll see that there are serious obstacles to their practical deployment in your environment.  Got any working 802.16 devices in your life yet?

This year, the FPGA industry was kinda’ like that.  There was an abundance of interesting and even amazing stuff announced, but much of it won’t be doing real work in our grubby little hands until sometime in the future.  Nevertheless, the technology is cool, and we’re here to tell you about it. 

We’ll start our wrap-up with the backbone of the FPGA business – the silicon platforms themselves and the vendors who market them.  Two vendors ventured into 65nm territory for the first time in FPGA history.  Both Xilinx and Altera announced 65nm families this year.  Although both families are real (I’ve touched working chips from both vendors – and didn’t burn my finger!), real volume shipments won’t be happening for some time yet.

Xilinx kept up their usual pace of announcements, headlined by the introduction of Virtex-5, the company’s first 65nm offering.  Virtex-5 saw Xilinx jump onto the wider-LUT bandwagon, following the previous-generation lead of archrival Altera.  Xilinx also expanded the multi-flavor concept they began with Virtex-4, increasing the number of variants from three (LX, SX, and FX for the logic-heavy, DSP-heavy, and transceiver-equipped flavors, respectively) to four – adding an “LXT” flavor that emphasizes logic density, but with multi-gigabit transceivers added on.

Xilinx was busy on many other fronts as well, overcoming their early difficulties with Virtex-4’s FX-family transceivers and dramatically expanding their Spartan-3 low-cost FPGA offerings.  They also went deep into vertical markets, providing a number of domain-specific design kits and stitching them all together with a “triple-play” marketing framework.

Continuing to bring you the kind of added value that only FPGA Journal can provide, we did some proprietary analysis not available from your average publication.  We turned our intense editorial truth-seeking scanners on the publicity coming out of the five major FPGA companies, trying to divine some deeper truths from the mass of marketing mayhem.  What we discovered may interest even the harshest skeptics of press-release analysis technology.

First, we counted press releases.  By our count – during 2006, Xilinx made 95, Altera came in second, with 87, Actel third with 55, Lattice fourth with 46, and QuickLogic brought up the rear with 38.  This order of finish is suspiciously similar to the companies’ market share ranking.  Evidently, the more press releases you do, the higher your market share.  Looking for a corollary, we searched for each company’s name on FPGA Journal.  Xilinx headed the pack with 935 results, Altera second with 717, Actel third with 367, and Lattice fourth with 318.  QuickLogic again brought up the rear with 167.  We got the very same order.  Intrigued yet?  It gets better…

It turns out that there is, unfortunately, an inverse relationship between market share success and the number of times you refer to yourself in the superlative sense.  Yep, it seems to be true.  The more often you say you’re the “world’s fastest” or “industry’s biggest” anything, the lower your market share.  We measured the percentage of press releases from each company that used the superlative-leading words “World’s” or “Industry’s”.  QuickLogic topped the list with 51.9%, Lattice was second with 48.1%, Actel third with 43.5%, and Altera and Xilinx rounded out the field with 40.0% for Altera and the industry’s lowest superlative density of 36.4% for market leader Xilinx.

Many of you may be shocked to learn that the industry’s most notorious superlative factories are actually behind the competition when it comes to chest beating.  Remember, you heard it here first.  Clearly, the secret to success in FPGAs is to create the maximum number of press releases with the fewest possible superlative claims.

Now, you may think that we’d stop there, but the press releases continued, and so did we.  You see, they generally have a word or two after “World’s” or “Industry’s” and we began to notice that those too, conveyed a trend.  We did a more detailed analysis on just the two largest FPGA vendors’ press.  It seems that, when dropping the superlative bomb, both vendors agree that the thing to be is “first”.  Xilinx claims to be the “Industry’s First” or “World’s First” in a whopping 52% of their PR superlatives, while Altera paces themselves at a more reserved 29%.  What is Altera saving those boasting points for?  The next two categories, of course  – “Fastest” and “Largest,” where their claims top Xilinx’s by 14% to 16% and 17% to 9% respectively.  Rounding out the list of most common FPGA superlatives are “Lowest Cost”, “Leading”, and “Most Complete”.  Xilinx sneaked in a quiet 4% of their superlative claims with “Most Popular,” while Altera rallied back to skunk them on “Most Versatile” (6%) and the prized “Lowest Power” (5%).  With a new superlative season just about to get underway, it’ll be exciting to watch what 2007 brings!

Altera’s biggest announcement of the year was also their biggest FPGA family of all time – Stratix III.  Of course, we went into serious detail on the new 65nm Stratix III in our [feature article] last month, but for year-end wrap-up purposes, suffice to say that Altera’s newest, biggest, fastest family is also very strong in the coulomb counting category.  In order to keep power consumption under control, Altera kicked in some very nice power-saving innovations across the entire spectrum from design tools to process to silicon architecture.  With Stratix III, Altera followed Xilinx’s lead from the previous generation, expanding the breadth of the family with additional flavors beyond their previous transceiver and non-transceiver versions.

Of course, life wasn’t all 65nm at Altera either.  The company continued its trend toward targeting a larger and more diverse range of applications by introducing more kit-like platforms for specific problem areas.  They also continued to fill out their current 90nm Stratix II product line, moving more devices into production and shipping the “GX” version with gigabit transceivers.  Altera also upped the ante on low-power CPLD-class FPGAs with the introduction of Max II, which they aimed at the portable device arena.

Lattice Semiconductor continued their dramatic frontal attack on the FPGA market that began when they formed their current relationship with foundry-partner Fujitsu.  Since that time, Lattice has been on a roll with a steady stream of highly-competitive silicon products.  This year, they launched both a high-end (LatticeSC) and a low-cost (Lattice ECP2) 90nm FPGA family, becoming the third FPGA vendor with 90nm offerings.  Later in the year, they turned up the heat further with the introduction of their ECP2M family – combining a low-cost FPGA platform with multi-gigabit SerDes transceivers.

Alternative FPGA supplier Actel had a busy year following through on announcements from 2005.  After the previous year’s launches of the flash-based ProASIC3 and its CoreMP7 version (including an ARM7 soft-core processor) as well as the innovative mixed-signal Fusion line, the company had its hands full just keeping up with the demand and support for those new products.  Nonetheless, they also managed a series of announcements, including the new, very-low-power “Igloo” flash-based FPGA family.

QuickLogic continued the “we’re not exactly an FPGA company” solutions sell, focusing their FPGA-related efforts on their very successful PolarPro low-power non-volatile FPGA family.  QuickLogic has taken their one-time-programmable antifuse FPGA and FPGA-like technology and spun it into high-value, domain-specific solutions aimed at applications such as HDD controllers for portable devices.

As FPGAs have grown larger and more capable, using them as embedded system platforms has become increasingly popular.  Both Xilinx and Altera debuted new versions of their soft-core processors, while Actel continued their alliance with ARM, upgrading to the Cortex-M3 processor to boost their processing power.  Lattice launched a processor catch-up game, evoking the power of freedom and open-source with their Mico32 soft-core, announced in the second half of the year.

Of course, it takes tools to do anything fun with an FPGA, and we would like to report that the FPGA tools market was filled with excitement, innovation, and explosive growth in 2006.  Unfortunately, it was not.  FPGA tools are a tough business commercially, primarily because FPGA vendors have shouldered such a large portion of the development burden themselves.  A sizeable chunk of the price tag on every FPGA you buy goes to fund that company’s proprietary tool development.  Xilinx and Altera each employ a triple-digit number of engineers dedicated to developing FPGA tools, and the cost of that development is nowhere near offset by the pittance you pay to license and use their software.

Xilinx and Altera both popped out their usual scheduled releases of their tool suites, tracking the availability of new silicon platforms.  Xilinx also pushed new versions of specialized software from companies they’ve acquired, such as AccelChip’s DSP design software and HierDesign’s PlanAhead floorplanner.  Altera raised eyebrows by announcing their own ESL capability – a C-to-hardware compiler for use with their Nios soft-core.  The compiler creates hardware accelerators in the FPGA fabric directly from C routines specified by the designer.

Actel, Lattice, and QuickLogic continued with their strategies of partnering with 3rd-party EDA companies for the lion’s share of their design tool technology.  Over the long haul, this keeps their costs down and brings an economy of scale to the development and maintenance of commodity tools that helps to level the playing field with the two bigger vendors.

Several EDA companies maintained a strong FPGA presence, however, defying the notion that there’s no money to be made in FPGA tools.  Synplicity, Mentor Graphics, Altium, Celoxica, and several others all continued to invest significantly in the development of programmable logic design software.  Synplicity reinforced their commitment to FPGAs by announcing early in the year that they were withdrawing from the structured ASIC arena following LSI Logic’s cancellation of their RapidChip program.  Since then, they’ve redoubled their FPGA efforts, creating a joint task force with Xilinx for timing closure on high-density designs, pushing the performance of physical synthesis with their “graph-based” approach, continuing the evolution of their DSP synthesis technology, and launching an initiative for open, secure IP distribution.

Mentor Graphics stayed the course in FPGA with their industry-leading ModelSim simulator and their Precision line of FPGA synthesis and physical synthesis tools.  Mentor merged in more capabilities from their PCB design, embedded systems design, IP, and system verification lines as well, making them the only traditional EDA company with a complete FPGA product offering.  Synopsys and Cadence have steered clear of FPGA, and Magma has maintained only a limited engagement with their Blast products.

Altium reinforced their position as something like the “Microsoft Office” of desktop design tools – taking the integrated approach to embedded system design including FPGA, board, hardware, and software development all in one package.  Altium’s breadth and integration are unmatched in the industry, and their low per-seat price is very attractive, particularly for smaller enterprises.

ESL came on strong in FPGA this year with a wide range of offerings, bringing higher-level design techniques to programmable logic.  Celoxica is flying the ESL colors with their complete algorithm-through-hardware solutions that include design software, IP, and even FPGA boards for prototyping and development.  Impulse Accelerated Technologies touts their FPGA-oriented ESL software as a compute-acceleration solution, and the Mentor Graphics Catapult product line synthesizes C and C++ for a variety of hardware targets including ASIC and FPGA.

Overall, 2006 was an inertial year for programmable logic working to make-real the exciting early announcements of 2005.  Look for 2007 to be a duality of more radical innovation and more mainstreaming of still wobbly-legged 2005 technology.  Whatever the direction, we at FPGA Journal will be here to keep you informed.  Have a great 2007!

Leave a Reply

featured blogs
Apr 19, 2024
Data type conversion is a crucial aspect of programming that helps you handle data across different data types seamlessly. The SKILL language supports several data types, including integer and floating-point numbers, character strings, arrays, and a highly flexible linked lis...
Apr 18, 2024
Are you ready for a revolution in robotic technology (as opposed to a robotic revolution, of course)?...
Apr 18, 2024
See how Cisco accelerates library characterization and chip design with our cloud EDA tools, scaling access to SoC validation solutions and compute services.The post Cisco Accelerates Project Schedule by 66% Using Synopsys Cloud appeared first on Chip Design....

featured video

MaxLinear Integrates Analog & Digital Design in One Chip with Cadence 3D Solvers

Sponsored by Cadence Design Systems

MaxLinear has the unique capability of integrating analog and digital design on the same chip. Because of this, the team developed some interesting technology in the communication space. In the optical infrastructure domain, they created the first fully integrated 5nm CMOS PAM4 DSP. All their products solve critical communication and high-frequency analysis challenges.

Learn more about how MaxLinear is using Cadenceā€™s Clarity 3D Solver and EMX Planar 3D Solver in their design process.

featured chalk talk

PIC32CX-BZ2 and WBZ451 Multi-Protocol Wireless MCU Family
Sponsored by Mouser Electronics and Microchip
In this episode of Chalk Talk, Amelia Dalton and Shishir Malav from Microchip explore the benefits of the PIC32CX-BZ2 and WBZ45 Multi-protocol Wireless MCU Family and how it can make IoT design easier than ever before. They investigate the components included in this multi-protocol wireless MCU family, the details of the software architecture included in this solution, and how you can utilize these MCUs in your next design.
May 4, 2023
40,090 views