industry news
Subscribe Now

ATopTech’s Physical Implementation Tools Enabled for GLOBALFOUNDRIES 22FDX Platform Reference Flow

SANTA CLARA, CA – November 9, 2015 – ATopTech, a leader in next-generation physical design solutions, today announced their Aprisa™ and Apogee™ Place & Route tools are now enabled for the current version of the  GLOBALFOUNDRIES  22FDX™ platform reference flow. GLOBALFOUNDRIES has qualified these tools for the 22FDX reference flow to provide customers with the design flexibility of using body bias to manage power, performance and leakage needed to create the next-generation chips for mainstream mobile, IoT and networking applications.  

ATopTech tools support the 22FDX design rules and methodology for forward and reverse body bias (FBB/RBB) to optimize the performance/power trade-offs, implant-aware and continuous diffusion-aware placement, and Inter-layer Non-Default-Rule for High Voltage nets. Ongoing enhancements to ATopTech’s Aprisa™ and ApogeeTM P&R tools will provide designers with capability to intelligently and dynamically tune the power and performance of the next-generation system-on-chip (SoC) designs.

 “Our collaboration with ATopTech enables their products to help customers fully leverage the benefits of the GLOBALFOUNDRIES 22FDX platform,” said Pankaj Mayor, vice president of Business Development at GLOBALFOUNDRIES. “The work we are doing together on the 22FDX reference flow will enable designers to deliver differentiated products with the optimal balance of power and performance.”

“ATopTech is ready to help joint customers meet the ultra-low-power requirements of the newest generation of connected devices,” said Jue-Hsien Chern, CEO of ATopTech. “Working with GLOBALFOUNDRIES, we strive to deliver the latest technology customers expect from ATopTech.”

About Aprisa

Aprisa is a complete place-and-route (P&R) engine, including placement, clock tree synthesis, optimization, global routing and detailed routing. The core of the technology is its hierarchical database. Built upon the hierarchical database are common “analysis engines,” such as RC extraction, DRC engine, and an advanced, extremely fast timing engine to solve the complex timing issues associated with OCV, signal integrity (SI) and multi-corner multi-mode (MCMM) analysis. Aprisa uses state-of-the-art multi-threading and distributed processing technology to further speed up the process. Because of this advanced architecture, Aprisa is able to deliver predictability and consistency throughout the flow, and hence faster total turn-around time (TAT) and best quality of results (QoR) for physical design projects.

About Apogee

Apogee is a full-featured, top-level physical implementation tool that includes prototyping, floorplanning, and chip assembly. The unified hierarchical database enables a much more streamlined hierarchical design flow. Unique in-hierarchy-optimization (iHO) technology helps to close top-level timing during chip assembly through simultaneous optimization at top level and at blocks, reducing the turnaround time for top-level timing closure from weeks to days.

About ATopTech

ATopTech, Inc. is the technology leader in IC physical design. ATopTech’s technology offers the fastest time to design closure focused on advanced technology nodes. The use of state-of-the-art multi-threading and distributed processing technologies speeds up the design process, resulting in unsurpassed project completion times. For more information, see www.atoptech.com

Leave a Reply

featured blogs
Mar 28, 2024
The difference between Olympic glory and missing out on the podium is often measured in mere fractions of a second, highlighting the pivotal role of timing in sports. But what's the chronometric secret to those photo finishes and record-breaking feats? In this comprehens...
Mar 26, 2024
Learn how GPU acceleration impacts digital chip design implementation, expanding beyond chip simulation to fulfill compute demands of the RTL-to-GDSII process.The post Can GPUs Accelerate Digital Design Implementation? appeared first on Chip Design....
Mar 21, 2024
The awesome thing about these machines is that you are limited only by your imagination, and I've got a GREAT imagination....

featured video

We are Altera. We are for the innovators.

Sponsored by Intel

Today we embark on an exciting journey as we transition to Altera, an Intel Company. In a world of endless opportunities and challenges, we are here to provide the flexibility needed by our ecosystem of customers and partners to pioneer and accelerate innovation. As we leap into the future, we are committed to providing easy-to-design and deploy leadership programmable solutions to innovators to unlock extraordinary possibilities for everyone on the planet.

To learn more about Altera visit: http://intel.com/altera

featured chalk talk

Littelfuse Protection IC (eFuse)
If you are working on an industrial, consumer, or telecom design, protection ICs can offer a variety of valuable benefits including reverse current protection, over temperature protection, short circuit protection, and a whole lot more. In this episode of Chalk Talk, Amelia Dalton and Pete Pytlik from Littelfuse explore the key features of protection ICs, how protection ICs compare to conventional discrete component solutions, and how you can take advantage of Littelfuse protection ICs in your next design.
May 8, 2023
38,721 views