industry news
Subscribe Now

SpringSoft Releases Third Generation Laker Custom IC Design Platform And New Analog Prototyping Tool

HSINCHU, Taiwan, April 17, 2012 — SpringSoft, Inc., a global supplier of specialized IC design software, today announced immediate availability of the Laker3™ custom IC design platform and new Laker™ Analog Prototyping tool. The third generation of the popular Laker product family delivers a complete OpenAccess (OA) environment for analog, mixed-signal, and custom digital design and layout that is optimized for performance and interoperability in 28 and 20-nanometer (nm) flows.

The Laker³ platform provides an entirely new interactive and modernized software infrastructure for all OA-based Laker products, including the Laker Advanced Design Platform (ADP), Laker Custom Layout SystemLaker Custom Digital Place and Route, as well as the new Laker Analog Prototyping tool. The platform increases OA performance, introduces next-generation layout technologies that are tuned for 28nm and 20nm design rules, and fully supports multi-vendor design flows with Interoperable process design kits (iPDK) and third-party tool integrations.

The new Laker Analog Prototyping tool provides early feedback on the impact of layout parasitics and other layout dependent effects (LDE), which can be particularly challenging to manage at the 20nm node. Its unique capabilities enable automated constraint generation, layout exploration, and rapid implementation in a single flow.

“We evaluated the Laker Analog Prototyping in our OpenAccess-based STARCAD-AMS environment. We confirmed it satisfied the requirements of the chip size and the placement constraints of STARC Motif circuits. We especially like how Laker automatically generates constraints from the circuit schematic and considers current flow during placement. Also, we expect it will be integrated into the STARCAD-AMS design flow soon,” said Kunihiko Tsuboi, senior manager for Japan’s Semiconductor Technology Academic Research Center (STARC).

Third Generation Platform

The Laker3 platform is built on a performance-driven infrastructure with pervasive multi-threading, new ultra-fast drawing capabilities, and 2-10X faster read/write operations compared the Si2 OpenAccess reference implementation. It also features an updated graphical user interface (GUI) with modern conventions, such as window tabbing, dockable windows and Qt-based look and feel, for a more productive and ‘personalized’ user experience. The design entry, custom layout, custom digital place and route, and analog prototyping tools share the same binary executable creating a unified environment that enables passing of design intent between tools. This front-to-back flow is able to fully leverage the automation benefits of constraint-driven design, schematic-driven layout (SDL) and ECO flows in order to improve overall accuracy and user productivity.

A new DRC engine that addresses 20nm design rules is used by Laker automation tools and for rule-driven editing during layout. For layout editing with ‘sign-off’ rule decks, which is critical for 20nm designs, Laker uses the award-winning Mentor Calibre RealTime interactive DRC tool. In addition, beginning with this release, interoperable PyCells can now be used with all Laker automation features that previously supported only MCell™ parameterized devices. 

“We have used Laker as our standard custom IC layout tool for many years resulting in successful tape-outs and turnaround time reduction,” said Satoru Washida, chief specialist of Group2 of Solutions Department 2, LSI Solutions Division at Toshiba Information Systems (Japan) Corporation. “With the enriched second-generation OpenAccess interoperability, sophisticated new GUI and greatly improved performance of Laker³, we expect to provide even more productivity to our customers.”

New Analog Prototyping Tool

The Laker Analog Prototyping tool is built directly into the Laker SDL flow to automate the process of analyzing advanced process effects and generating constraints to guide circuit layout. This rapid prototyping flow results in a more predictable design cycle and improves productivity with less time wasted on post-layout design adjustments compared to conventional methods. Key features include ‘smart’ placement techniques to automatically generate multiple DRC-correct and routable options, hierarchical structure to handle thousands of transistors, and full support for the complete range of industry standard parameterized device formats, including MCells, PyCells, C++ PCells, and Tcl PCells.

“Laker is the most widely used and broadly supported custom design solution with unmatched support for interoperable PDKs and multi-vendor tool flows,” said Dave Reed, senior director of marketing for custom IC design solutions at SpringSoft. “The new Lakerplatform builds on this foundation through the experience gained working with many customers worldwide and our continued investment in next-generation technologies. Collaboration with leading-edge companies working at 20nm was especially instrumental in driving the important new capabilities needed for advanced geometries.”

Availability & Pricing

The Laker3 software platform is shipping today for the complete family of OA-based custom IC design and layout products. Laker customers with current maintenance contracts can upgrade immediately at no charge. The new Laker Analog Prototyping tool is list priced starting at US$80,000 per year for a three-year subscription license. For more information about the Laker3 software, visit: www.springsoft.com/laker3.

About SpringSoft

SpringSoft, Inc. (TAIEX: 2473) is a global supplier of innovative automation technologies for the design and verification of complex digital, analog and mixed-signal SoCs. Its award-winning product portfolio is used by more than 400 of today’s leading semiconductor companies, foundries, and electronic systems OEMs. Headquartered in Hsinchu, Taiwan, SpringSoft is the largest company in Asia specializing in IC design software and a recognized industry leader in customer service with multiple R&D sites and local support offices around the world. For more information, visit www.springsoft.com.

Leave a Reply

featured blogs
Mar 28, 2024
The difference between Olympic glory and missing out on the podium is often measured in mere fractions of a second, highlighting the pivotal role of timing in sports. But what's the chronometric secret to those photo finishes and record-breaking feats? In this comprehens...
Mar 26, 2024
Learn how GPU acceleration impacts digital chip design implementation, expanding beyond chip simulation to fulfill compute demands of the RTL-to-GDSII process.The post Can GPUs Accelerate Digital Design Implementation? appeared first on Chip Design....
Mar 21, 2024
The awesome thing about these machines is that you are limited only by your imagination, and I've got a GREAT imagination....

featured video

We are Altera. We are for the innovators.

Sponsored by Intel

Today we embark on an exciting journey as we transition to Altera, an Intel Company. In a world of endless opportunities and challenges, we are here to provide the flexibility needed by our ecosystem of customers and partners to pioneer and accelerate innovation. As we leap into the future, we are committed to providing easy-to-design and deploy leadership programmable solutions to innovators to unlock extraordinary possibilities for everyone on the planet.

To learn more about Altera visit: http://intel.com/altera

featured chalk talk

High-Voltage Isolation for Robust and Reliable System Operation
In this episode of Chalk Talk, Amelia Dalton and Luke Trowbridge from Texas Instruments examine the benefits of isolation in high voltage systems. They also explore the benefits of TI’s integrated transformer technology and how TI’s high voltage isolations can help you streamline your design process, reduce your bill of materials, and ensure reliable and robust system operation.
Apr 27, 2023
36,389 views