feature article
Subscribe Now

Cramming Moore Components

Moore’s Law Turns Fifty

It’s been a half-century since Gordon Moore published “Cramming More Components Onto Integrated Circuits” in the April 19, 1965 edition of Electronics Magazine. It was another five years before Carver Mead dubbed Moore’s prediction in that article – about progress in integrated circuit density – “Moore’s Law,” and another five years after that before Moore revised his original “doubling every year” prediction to “doubling every two years.” At its simplest level, then, Moore’s Law predicts that the number of transistors that can be fabricated on a single chip will double every two years.

The fifty years that have followed that prophetic piece have seen nothing short of the most amazing advances in human history. Moore originally predicted that the trend would continue for “at least ten years,” but the exponential he foresaw has held almost miraculously steady for five times that long. Some would say that Moore brought incredible insight with his prediction. Others would say he was lucky. Still others would claim that this is an example of self-fulfilling prophecy. Whatever the case, the profound impact of that one metric – “number of transistors on a single chip” – on just about every aspect of our global society is almost unfathomable.

We have seen a revolution in global communications, information access, safety, efficiency, health, transportation, education, and the economy. We have seen a democratization of technological capability that would boggle the minds of any but the most recent generation. Computing power and storage that would have cost tens of millions of dollars just a few years ago can now be purchased from the rack at the check-out stand in the grocery store – for less than the cost of a good six-pack of beer.

The generation of engineers that has created and endured this revolution is the first ever to see continual exponential progress in the technology they were developing over the span of their entire careers. And most of them consider that exponential rate of change to be “status-quo.” It is a sobering experience to have a job where practically everything you have learned is obsolete every couple of years, and where the rate of re-education required in order to simply keep your professional skills current and relevant are comparable to what you’d expect while earning a college degree – for the entire duration of your career.

I will claim that the engineers who have made this remarkable achievement possible have contributed more to mankind than politicians, physicians or soldiers. The fruits of their labors have been amplified  by the hundreds of millions, as they have touched every single individual in the civilized world in a profound way. 

But how did we get from “more transistors” to revolutionizing civilization? Why is an exponential increase in the number of sources, drains, and gates inscribed on a single sliver of silicon the stuff that societal overhaul is made of? At its root, the key element is making machines that think. And there has always been a direct correlation between the number of transistors and the processing power we can pack onto a chip. If smart machines are good, then smarter machines must be better, and the amount of intelligence we’ve been able to pack into inanimate objects has also paralleled Moore’s Law for the past five decades.

But, if raw transistors are the basic building blocks of machine intelligence, software is the soul. Throughout the history of computing, software engineers have been able to take advantage of every increase in computing power that hardware engineers and Moore’s Law could deliver. The duo of hardware and software engineering working together has given brains to the brainless and life to the lifeless and has spawned a new era of machines that have the capability to help mankind in ways never before imagined. 

Now, however, there is substantial evidence accumulating that Moore’s Law is slowing to a long and expensive end. There are three forces conspiring to bring the Moore’s Law era to a close: cost, benefit, and physics. With each passing two-year generation, the cost of tooling up has doubled, the benefit we see from the step has declined, and we inch ever closer to some unknown but undeniable physical limitation beyond which the trend cannot be advanced.

Let’s look at the physics part first. It stands to reason that there is a maximum density at which thinking machines can be fabricated. We have not yet proven whether that limit is at the atomic, sub-atomic, or quantum scale, but we do know that such a limit must exist. Today, the line in the sand against which we struggle is the wavelength of the light source used for lithography. Perhaps extreme ultraviolet (EUV) light sources will keep that barrier at bay, and perhaps they will not. Perhaps an alternate solution to the problem such as multi-patterning will become more economically feasible for some unforeseen reason. Or, perhaps we really will not be able to come up with a practical way to do volume production of chips with a geometry smaller than 7nm. The wavelength factor could bring Moore’s Law to an end within the next five years.

Next, we have the “benefit” factor to consider. In the early years of Moore’s Law, each two-year cycle brought a bounty of benefits to the table. Unit costs were slashed at least in half, speed doubled, and power consumption dropped. New chips could do dramatically more work, faster, with less power than their predecessors. Gradually, however, that trio of benefits shrank. At first, we didn’t quite get the doubling effect anymore. Then, gradually, because of nasty effects like leakage current, chip designers were forced to choose their favorite two out of the three and compromise the third. When we finally hit the practical limit of planar transistors at around 20nm, we were down to choosing more like one of the three. Over time, the gains from going to the next process node went from spectacular to simply impressive to modestly linear.

Taking that trend forward another two-year cycle or so, it’s easy to predict that there might be no benefit from moving to the next level of transistor density. Today, in fact, for many categories of applications, the latest process nodes bring a penalty rather than a benefit to the table. For all of those applications, Moore’s Law has already ended.

Finally, with each passing generation, the cost of tooling up for volume manufacturing has doubled as well. Over time, this has raised the cost of setting up a working leading-edge semiconductor fabrication plant to a price that only the largest companies on earth and economically healthy nations can afford. At the same time, the non-recurring engineering (NRE) costs associated with designing a new chip have increased at approximately that same rate. A couple of decades ago, hundreds to thousands of companies could justify the cost and risk of developing custom chips for their systems. Today, the number of companies with the nine-digit sums available to risk on a single custom IC development project has dwindled to a handful of household names. Custom IC design and manufacturing is truly a game for only the very wealthiest of companies today.

Fast forward that trend another generation or two, and it’s easy to predict that nobody will be able to afford to attempt a chip design at the next node – even if it’s technically possible and would yield tangible performance or power benefits.

If Moore’s Law is ending, what will happen to the rocket-sled of inertial expectations to which we’ve become accustomed over the past half-century? Will we glide to a smooth and graceful halt? Or, will we crash into the wall at the end of Moore’s Law in a ball of fire, with an economic and social catastrophe of epic proportions?

It is likely that, even without Moore’s Law, technology will march forward at a rate similar to that to which we have become accustomed. Perhaps now, it is time to focus on the body instead of the mind. Because, even though we have built machines with incredible computing power, the art of connecting those machines to the physical world is still in its infancy. New technologies like MEMS sensors, actuators, and 3D printing promise to give our intelligent machines a dexterity and awareness that we cannot imagine today.

And we have only begun to scratch the surface of what new and improved software can accomplish – even if the machines that run that software stop improving at an exponential rate. The complexity of software that could be developed is thousands of times greater than the complexity of hardware machines we can build today, and there is little practical or physical limit to the sophistication of the software systems we can evolve over time. Progress in software technology does not rely on progress in computing hardware, even though Moore’s Law has lured many of us into thinking it does.

Finally, people will continue to evolve on top of the base of technology that has risen from Moore’s Law. Civilization had thousands of years without intelligent machines and global information availablility during which to evolve social norms and customs. Over the past five decades we have seen repeatedly that technology has moved forward much faster than our society could mature in learning to deal with it. Now that we’ve had fifty years of Moore’s Law to push technology forward, we probably need another fifty years for humans to catch up. 

Happy fiftieth Birthday, Moore’s Law! Thanks for everything you’ve given us. We’ll try to use it responsibly.

One thought on “Cramming Moore Components”

  1. I agree with the statement, that Moore’s Law is slowing to a long and expensive end. However, Moore’s Law has also a long and expensive history.

    The amount of intelligence we’ve been able to pack into inanimate objects has not always paralleled Moore’s Law. This lack of intelligence has caused the Software Crisis and the von Neumann syndrome fueled by the Energy Wall, the Memory Wall and the Education Wall.

    Greetings from
    Reiner

Leave a Reply

featured blogs
Apr 16, 2024
In today's semiconductor era, every minute, you always look for the opportunity to enhance your skills and learning growth and want to keep up to date with the technology. This could mean you would also like to get hold of the small concepts behind the complex chip desig...
Apr 11, 2024
See how Achronix used our physical verification tools to accelerate the SoC design and verification flow, boosting chip design productivity w/ cloud-based EDA.The post Achronix Achieves 5X Faster Physical Verification for Full SoC Within Budget with Synopsys Cloud appeared ...
Mar 30, 2024
Join me on a brief stream-of-consciousness tour to see what it's like to live inside (what I laughingly call) my mind...

featured video

How MediaTek Optimizes SI Design with Cadence Optimality Explorer and Clarity 3D Solver

Sponsored by Cadence Design Systems

In the era of 5G/6G communication, signal integrity (SI) design considerations are important in high-speed interface design. MediaTek’s design process usually relies on human intuition, but with Cadence’s Optimality Intelligent System Explorer and Clarity 3D Solver, they’ve increased design productivity by 75X. The Optimality Explorer’s AI technology not only improves productivity, but also provides helpful insights and answers.

Learn how MediaTek uses Cadence tools in SI design

featured chalk talk

Embedded Storage in Green IoT Applications
Sponsored by Mouser Electronics and Swissbit
In this episode of Chalk Talk, Amelia Dalton and Martin Schreiber from Swissbit explore the unique set of memory requirements that Green IoT designs demand, the roles that endurance, performance and density play in flash memory solutions, and how Swissbit’s SD cards and eMMC technologies can add value to your next IoT design.
Oct 25, 2023
22,694 views