feature article
Subscribe Now

Bringing the Good News from Leuven

It is strange sitting indoors on a grey wet day in Belgium — and Belgium does pretty good grey and wet in October — listening to people talking about photovoltaic cells. But then imec, in Leuven, Belgium, is a pretty strange place.

When Bryon wrote about imec earlier this year, he commented that, even with the steady stream of press releases, it was difficult to get a handle on what the organisation actually does. If you have been watching the news channel at Techfocusmedia.com, you will have seen a burst of releases from imec on Monday and Tuesday, ranging from an ultra-low-power electrocardiogram chip to agreements on helping to develop the massive lithography machines that will be needed for next-generation feature sizes on 450mm wafers. Oh, and laying the foundation stone for a 16-story tower block.

The trigger for all this activity was imec’s annual press event – when they fly in hacks from around the world, seat them in a meeting room, and, for two days, subject them to a barrage of briefings on some of their research areas.

Before going into detail, let us look at imec as a whole. There are just under 2000 staff, of whom around 400 are on attachment from partner companies and 200 are PhD students. In some ways, the campus reminds me of the cartoon I saw in the New Yorker magazine many years ago. In the lobby of a skyscraper is a smartly dressed young man. He is listening to a man with a mop who is saying “Oh, I think they are recruiting PhDs to operate the lifts – I only have a master’s myself.”

A principle backer is the Flanders government (Belgium has a national government, and two regional governments, one for the  the French-speaking (Walloon)south of the country and the other for the Dutch-speaking  (Flanders) area.) Flanders provides around Euro 30 million (US $41 million) of imec’s just over Euro 300 million annual turnover and last year backed a Euro 30 million loan for extending the second clean room. This money is mainly for what I think of as seed-corn research. It isn’t quite the blue skies research that Bell Labs and others used to undertake, but it is well in advance of the joint pre-production research that imec carries out with its industrial partners.

To carry out research, imec has a campus with a range of laboratories and two clean rooms. These run round the clock, both to provide real world conditions for the equipment and to meet the increasing needs of the researchers. Clean rooms are at the heart of imec’s mission, providing a detailed knowledge of the most advanced CMOS processing. Equipment research is pushed forward with the equipment manufacturers. One of the more fascinating papers presented discussed how work on EUVL (Extreme Ultra Violet Lithography) is progressing. imec took delivery of a preproduction ASML NXE 3100 scanner earlier this year and has rapidly been building up understanding of the potentials of EUVL. This is an outstanding example of bringing together companies in a neutral environment to ensure that the different things that they make will work together in practice. The main equipment — the box of tricks from ASML — is the size of a small house. The EUV source is an Ushio pre-production DPP (Discharge Produced Plasma) system, the only one in the world. (Other development systems are using LPP – Laser Produced Plasma.) In a last minute insertion to the presentation, which discussed the various techniques of patterning needed to reach 10nm geometries and beyond, imec showed the latest test results, which demonstrated that, within weeks of bringing up the equipment, it is producing outstanding patterning consistency and is demonstrating that it will achieve the 60 wafers/hour throughputs that it will need for volume production.

Pushing towards greater densities and performance has two threads at imec – “More-Moore” and “More-than-Moore.” More Moore is pushing forward on shrinking geometries, using new materials and turning the classic planar transistors on their sides for greater densities – such as the FinFETs. More-than-Moore looks at alternatives to scaling to achieve greater densities. This includes creating 3D structures, with devices fabricated on separate wafers and then stacked. The starting point might be a base layer of memory, with logic fabricated in a second layer, and the two connected with either TSV (Through Silicon Vias) or micro bumps. If these connections start to become a performance bottleneck, then imec (among others) is working on silicon photonics – using silicon as an optical medium – to provide a third, connectivity, layer. The centre has already demonstrated silicon photonics ICs.

Among other More-than-Moore projects announced at the briefing were:

a piezoresistive MEMS pressure sensor that is fabricated in polycrystalline SiGe directly on top of a 0.13µm copper backend CMOS, providing pressure sensing and signal processing in a single small die processed in a standard CMOS facility.

an extremely high-speed (fT/fMAX 245GHz/450GHz) heterojunction bipolar transistor aimed at wireless and imaging applications.

A chip-set of EUV sensors that are already being deployed in the ASML NXE 3100 EUV lithographic machines.

Imec is also committed to developing a 450mm wafer fab capability. (450mm is a smidgen under 18 inches, and a 450mm wafer has over twice the area of the 300mm wafers that are today’s state of the art.)  

This core competence in CMOS is developed in partnership with  industrial organisations: usually the leaders in their fields. These include memory and logic IDMs, foundries, and fab-lite and fabless companies. CMOS competence is also the basis of the other activities at imec, which include MEMS, sensor and photonic technologies, organic electronics, energy (including photovoltaics and power devices), imaging and 3D visualisation, low-power wireless, and, an increasingly important area, life sciences.

This last area provided one of the more surreal moments of the imec event. As part of the tour, which included the obligatory peer into the clean room, where a huge extension area (1,200m2, bringing the total clean room area to close to 10,000m2 or around 100,000f2) is waiting for 450mm equipment, we visited the new neuro-electronic lab. Here we were shown a room full of small tanks containing many thousands of zebra fish – not quite what you expect to find at a CMOS-based research centre. These are being used for in-vitro research on brain mechanisms, particularly the way the brain reacts to taste and smell. Zebra fish are transparent, and as they are subject to stimuli, such as new tastes, parts of their brain can be seen to change colour. Measuring and understanding this is part of work that imec is undertaking with university specialist research teams to look at the mechanisms of the human brain. The aim is to gain a greater understanding of the mechanisms behind dementia and the other mental problems suffered by two billion people today, and to use this understanding to try to discover ways of using electronic stimulation to alleviate these conditions.

Other life science work is looking at using nano-porous materials for early identification of genetic causes of diseases. Nanopores (holes that are 1nm in diameter) have the potential for dramatically increasing the speed of DNA sequencing: imec’s experience at MEMS in silicon could reduce the price of nanopore production to the point where DNA sequencing could be a standard screening tool in doctor’s surgeries, providing early identification of possible inherited problems.

BANs (Body Area Networks), which wirelessly connect sensors that are worn on the body and communicate off-body, is another life science area which brings together imec’s silicon, sensor and low-power radio expertise. An example announced is an ECG patch, combining an ultralow-power ECG SoC developed at imec with Bluetooth Low Energy. The Bluetooth connection sends the ECG readings to a smart-phone or other communication device for onward transmission to a physician. This provides regular or even continuous monitoring while the patient maintains a normal life. Other diagnostic and monitoring tools are under development.

The briefings also covered:

A fully chip-integrated ultra-low-power IR-UWB (impulse-radio ultra-wideband) implementation. UWB has been a solution looking for problems for some time, and impulse radio, using short pulses of signal, has been used in military communications for several decades. imec believes that a very low-power implementation will have applications in areas like personal audio streaming, replacing Bluetooth with better quality and longer battery life, and in short range (less than 20 metres) positioning and communication.

A multimode digital TV receiver with a reconfigurable processor. This is an area that imec has been working on for some time – one piece of silicon that will match the wide rage of broadcasting standards around the world. The first commercial user of the new device will be Panasonic.

Sorry – I had almost forgotten the photo-voltaic cells. imec is looking at ways to improve silicon photo-voltaic (pv) cells, both at cell and module level, in particular through back-contact integration, and replacing silver metallization with copper. It is also looking at replacing silicon with organic materials and at other thin film technologies. 

Finally there was the foundation stone ceremony. A number of the great and good of Flanders and Leuven listened to, and gave, speeches – all in wonderfully fluent English. Then, dressed in high visibility jackets and hard hats, they went into a very large hole in the ground to unveil a block of stone. In a couple of years, this will be in the basement of a 16-story, ultra-modern block of offices and laboratories housing around 600 more people. What the Flanders great and good were emphasising was that the block will be a symbolic way of demonstrating imec’s presence and advanced capabilities in the town of Leuven and in the province.

How far this is anything more than speechifying is open for discussion – possibly over a glass of one of Leuven’s other claims to fame, Stella Artois beer.  What is undeniable is that imec is a wonderful place to visit – full of extremely clever people from around the world doing extremely clever things, which are then sent out into the world to become part of commercially successful products.

One thought on “Bringing the Good News from Leuven”

Leave a Reply

featured blogs
Mar 28, 2024
The difference between Olympic glory and missing out on the podium is often measured in mere fractions of a second, highlighting the pivotal role of timing in sports. But what's the chronometric secret to those photo finishes and record-breaking feats? In this comprehens...
Mar 26, 2024
Learn how GPU acceleration impacts digital chip design implementation, expanding beyond chip simulation to fulfill compute demands of the RTL-to-GDSII process.The post Can GPUs Accelerate Digital Design Implementation? appeared first on Chip Design....
Mar 21, 2024
The awesome thing about these machines is that you are limited only by your imagination, and I've got a GREAT imagination....

featured video

We are Altera. We are for the innovators.

Sponsored by Intel

Today we embark on an exciting journey as we transition to Altera, an Intel Company. In a world of endless opportunities and challenges, we are here to provide the flexibility needed by our ecosystem of customers and partners to pioneer and accelerate innovation. As we leap into the future, we are committed to providing easy-to-design and deploy leadership programmable solutions to innovators to unlock extraordinary possibilities for everyone on the planet.

To learn more about Altera visit: http://intel.com/altera

featured chalk talk

Optimize Performance: RF Solutions from PCB to Antenna
Sponsored by Mouser Electronics and Amphenol
RF is a ubiquitous design element found in a large variety of electronic designs today. In this episode of Chalk Talk, Amelia Dalton and Rahul Rajan from Amphenol RF discuss how you can optimize your RF performance through each step of the signal chain. They examine how you can utilize Amphenol’s RF wide range of connectors including solutions for PCBs, board to board RF connectivity, board to panel and more!
May 25, 2023
34,810 views